CN1249789C - 等离子体处理容器内部件 - Google Patents

等离子体处理容器内部件 Download PDF

Info

Publication number
CN1249789C
CN1249789C CNB2003101157793A CN200310115779A CN1249789C CN 1249789 C CN1249789 C CN 1249789C CN B2003101157793 A CNB2003101157793 A CN B2003101157793A CN 200310115779 A CN200310115779 A CN 200310115779A CN 1249789 C CN1249789 C CN 1249789C
Authority
CN
China
Prior art keywords
ceramic
plasma processing
processing container
inner member
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2003101157793A
Other languages
English (en)
Other versions
CN1516535A (zh
Inventor
三桥康至
中山博之
长山将之
守屋刚
长池宏史
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1516535A publication Critical patent/CN1516535A/zh
Application granted granted Critical
Publication of CN1249789C publication Critical patent/CN1249789C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/249969Of silicon-containing material [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/24997Of metal-containing material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/3154Of fluorinated addition polymer from unsaturated monomers
    • Y10T428/31544Addition polymer is perhalogenated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide

Abstract

本发明提供一种等离子体处理容器内部件,可抑制作为掺杂涂层而形成的喷镀膜的剥离。它是在基材(71)与喷镀膜(72)之间,由对含卤素处理气体的耐腐蚀性好的材料形成屏蔽性涂层(73),通过树脂或溶胶凝胶法对该屏蔽性涂层(73)进行封孔处理。

Description

等离子体处理容器内部件
技术领域
本发明涉及一种等离子体处理容器内部件,具体涉及用于形成有含卤素的处理气体的等离子环境的等离子体处理容器内的、例如沉积保护罩(depo-shield)、排气板、聚焦环、电极板、静电吸盘、处理容器内壁材料等等离子体处理容器内部件。
背景技术
在半导体和液晶显示器等的制造过程中,多采用使用等离子体的等离子处理,但在这种等离子处理中,在处理容器内使用以C4F8或NF3等氟化物、BCl3或SnCl4等氯化物、HBr等溴化物为主的含卤素的气体,所以存在处理容器内部件明显易腐蚀损耗等问题。因此,人们强烈需求例如沉积保护罩、排气板、聚焦环、电极板、静电吸盘、处理容器内壁材料等等离子体处理容器内部件具有耐等离子体性。
对此,作为这种等离子体处理容器内部件,有人提出一种方案,它是在由Al、Al合金、Al氧化物、石英等构成的基材表面形成Al2O3或Y2O3等耐蚀性高的喷镀膜,以提高处理容器内部件的耐等离子体性(例如参照专利文献1)。另外,在基材与喷镀膜之间还形成有阳极氧化膜。而为了提高与喷镀膜的结合性,则通过等离子处理等有意使基材或阳极氧化膜的表面粗糙,而可期有锚定效应,从而防止喷镀膜剥离。
在上述等离子体蚀刻处理装置的情况下,除使用含有腐蚀性高的卤素处理气体外,为除去附着在处理容器内的反应生成物,需定期用纯水、含氟溶剂或丙酮等有机溶剂等清洗液进行清洁,所以处理气体或清洁用清洗液会侵入基材与喷镀膜之间或基材与阳极氧化膜之间,而与处理气体或清洗液反应,从而在基材表面产生腐蚀生成物,结果导致喷镀膜剥离问题。
即,如图21(a)所示,在等离子体处理容器内部件100中,在Al等基材101上的喷镀膜(掺杂涂层)102的表面,堆积着CF聚合物等反应生成物103,如图21(b)所示,该反应生成物浸渍在设定清洗液104中,定期或不定期地除去。此时,如图21(c)所示,与处理气体、清洗液或反应生成物起反应的液体由喷镀膜102的贯通气孔、与喷镀膜102的交界部或被等离子体气体等损伤的部位侵入,达到基材101的表面。从而在基材101的表面生成腐蚀生成物,或如图21(d)所示,通过使因谋求锚定效应而在基材表面形成的凹凸平滑,消除锚定效应,而在喷镀膜102上产生由基材101剥离的部分105。
另一方面,因上述Al2O3或Y2O3与空气中的水分易发生反应,所以在其用作等离子体处理容器的内壁材料等的情况下,当打开作为处理容器的真空室连通大气时或湿洗真空室时,有可能会大量吸入水分。另外,若这样大量吸入水分,则通过处理中真空室内变为高温或等离子体放电,产生真空室内壁脱水,与室内壁或堆积物起化学反应而生成颗粒、或抽真空时间变长、或发生异常放电、或成膜性变差等不良影响。
对此,在专利文献2中揭示了如下方法,即,当抽真空时,生成等离子体,使该等离子体与室内壁面接触,使其温度上升,使附着的水分子汽化,然后,在短时间内抽成真空。另外,在专利文献3中揭示了如下技术,即,在真空室的盖部件上设置加热器,在等离子处理时控制加热器,使真空室的内壁温度始终保持在规定温度以上,从而降低吸附在真空室内壁上的水分或有机物含量,并使所附着的水分或有机物快速蒸发。并且,在专利文献4、5中揭示了如下技术,即,在真空室内壁上设置可拆装的保护罩,当到达真空时间因吸附在附着的污染物上的水分等影响下而超过规定值时,提示清扫更换保护罩部件。
但是,专利文献2~5的技术都对应于吸附水,所以其效果有限,不能根本解决问题。
专利文献1:特开平8-339895号公报(第3页、图2)
专利文献2:特开平8-181117号公报
专利文献3:特开平11-54484号公报
专利文献4:特开平11-54487号公报
专利文献5:特开2002-124503号公报
发明内容
本发明是鉴于这种现有技术所具有的问题而作出的发明,其目的在于提供一种可抑制形成为掺杂涂层的喷镀膜的剥离的新型的经改良的等离子体处理容器内部件。
另一个目的在于提供一种等离子处理时难以产生脱水的等离子体处理容器内部件。
为了实现上述目的,在本发明的第1方面中,提供一种等离子体处理容器内部件,它具有基材和通过在其表面喷镀陶瓷而形成的膜,其特征在于:构成上述膜的陶瓷含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素,其至少一部分由树脂进行封孔处理。
在本发明的第2方面中,提供一种等离子体处理容器内部件,它具有基材和通过在其表面喷镀陶瓷而形成的膜,其特征在于:上述膜具有第1陶瓷层和第2陶瓷层,上述第1陶瓷层由含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷构成;上述第2陶瓷层由包括选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷构成,上述第1和第2陶瓷层至少一方的至少一部分由树脂进行封孔处理。
在上述本发明的第1和第2方面中,优选为上述树脂选自SI、PTFE、PI、PAI、PEI、PBI和PFA。
在本发明的第3方面中,提供一种等离子体处理容器内部件,具有基材和通过在其表面喷镀陶瓷而形成的膜,其特征在于:构成上述膜的陶瓷含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素,其至少一部分由溶胶凝胶法(sol gel)进行封孔处理。
在本发明的第4方面中,提供一种等离子体处理容器内部件,具有基材和通过在其表面喷镀陶瓷而形成的膜,其特征在于:上述膜具有第1陶瓷层和第2陶瓷层,上述第1陶瓷层由含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷构成;上述第2陶瓷层由含有B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷构成,上述第1和第2陶瓷层的至少一方的至少一部分由溶胶凝胶法进行封孔处理。
在上述本发明的第3和第4方面中,优选为上述封孔处理使用选自属于周期表第3A族的元素中的元素进行。
在上述本发明的第1~第4方面中,优选为上述陶瓷使用选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种。
在本发明的第5方面中,提供一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于:上述膜具有通过喷镀陶瓷而形成的主层;和由含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的元素的陶瓷构成的屏蔽性涂层(barrier coat)。
在上述本发明的第5方面中,优选为上述屏蔽性涂层使用选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷。另外,上述屏蔽性涂层可使用其至少一部分由树脂进行封孔处理后的喷镀膜,上述树脂优选为选自SI、PTFE、PI、PAI、PEI、PBI和PFA。或者,上述屏蔽性涂层可使用其至少一部分由溶胶凝胶法进行封孔处理后的喷镀膜,上述封孔处理使用选自属于周期表第3A族的元素进行。
在上述本发明的第6方面中,提供一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于:上述膜具有通过喷镀陶瓷而形成的主层和由形成于上述基材与上述主层之间的工程塑料构成的屏蔽性涂层。
在上述本发明的第6方面中,上述工程塑料优选为使用选自PTFE、PI、PAI、PEI、PBI、PFA、PPS、POM的塑料。
在上述本发明的第5和第6方面中,上述主层优选为使用选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷。
在本发明的第7方面中,提供一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于:上述膜由含有属于周期表第3A族的至少1种元素的陶瓷构成,上述膜的至少一部分由蒸汽或高温水进行水合处理。
在本发明的第8方面中,提供一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于:上述膜具有由含有属于周期表第3A族的至少1种元素的陶瓷构成的第1陶瓷层、和由含有属于周期表第3A族的至少1种元素的陶瓷构成的第2陶瓷层,上述第1和第2陶瓷层至少一方的至少一部分由蒸汽或高温水进行水合处理。
在上述本发明的第7和第8方面中,上述膜可使用通过喷镀形成的喷镀膜或由薄膜形成技术形成的薄膜。另外,构成上述膜的陶瓷优选为选自Y2O3、CeO2、Ce2O3、Nd2O3
在本发明的第9方面中,提供一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于:上述膜具有由含有属于周期表第3A族的至少1种元素的陶瓷构成的第1陶瓷层、和通过喷镀陶瓷形成的第2陶瓷层,上述第1陶瓷层的至少一部分由蒸汽或高温水进行水合处理。
在上述本发明的第9方面中,上述第1陶瓷层可使用通过喷镀形成的喷镀膜或由薄膜形成技术形成的薄膜。另外,构成上述第1陶瓷层的陶瓷优选为选自Y2O3、CeO2、Ce2O3、Nd2O3。并且,构成上述第2陶瓷层的陶瓷优选为选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种。
在本发明的第10方面中,提供一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于:上述膜具有由含有属于周期表第3A族的至少1种元素的氢氧化物构成的氢氧化物层。
在上述本发明的第10方面中,上述氢氧化物层可使用通过喷镀形成的喷镀膜或由薄膜形成技术形成的薄膜。另外,构成上述氢氧化物层的氢氧化物优选为选自Y(OH)3、Ce(OH)3、Nd(OH)3。并且,优选为上述氢氧化物层的至少一部分经封孔处理。
在上述本发明的第1~第10方面中,优选为在上述基材与上述膜之间设置阳极氧化膜,此时,上述阳极氧化膜优选为由金属盐水溶液进行封孔处理。
在本发明的第11方面中,提供一种等离子体处理容器内部件,其特征在于:由含有属于周期表第3A族的至少1种元素的陶瓷烧结体构成,其中的至少一部分由蒸汽或高温水进行水合处理。此时,上述陶瓷烧结体优选为对选自Y2O3、CeO2、Ce2O3、Nd2O3中的陶瓷进行水合处理得到的陶瓷烧结体。
在本发明的第12方面中,提供一种等离子体处理容器内部件,其特征在于:由含有属于周期表第3A族的至少1种元素的氢氧化物的陶瓷烧结体构成。此时,上述陶瓷烧结体中所含氢氧化物优选为选自Y(OH)3、Ce(OH)3、Nd(OH)3
根据本发明,在具有基材和喷镀膜的结构的等离子体处理容器内部件中,设有起到屏蔽作用的各种层,所以基材表面不会暴露于处理气体或清洗液中,从而可抑制喷镀膜的剥离。
另外,根据本发明,通过对含有属于周期表第3A族的至少1种元素的陶瓷进行水合处理,或通过形成含有属于周期表第3A族的至少1种元素的氢氧化物的层或烧结体,可形成既难吸水又难脱水的结构,因此可得到等离子处理时难以脱水的等离子体处理容器内部件。
附图说明
图1为装载有本发明实施方式的等离子体处理容器内部件的等离子体蚀刻装置的纵剖面示意图。
图2为本发明实施方式1的等离子体处理容器内部件的实施例1的层结构的剖面示意图。
图3为在图2的结构中加入阳极氧化膜的实施例的剖面示意图。
图4为本发明实施方式1的等离子体处理容器内部件的实施例2的层结构的剖面示意图。
图5为在图4的结构中加入阳极氧化膜的实施例的剖面示意图。
图6为本发明实施方式1的等离子体处理容器内部件的实施例3的层结构的剖面示意图。
图7为在图6的结构中加入阳极氧化膜的实施例的剖面示意图。
图8为本发明实施方式2的等离子体处理容器内部件的实施例1的层结构的剖面示意图。
图9为对Y2O3膜进行水合处理的情况与未进行水合处理的情况的X射线分析图谱的比较示意图。
图10为对Y2O3膜进行水合处理的情况与未进行水合处理的情况的IPA吸附的比较示意图。
图11为对Y2O3膜进行水合处理的情况与未进行水合处理的情况的树脂浸透的比较示意图。
图12为比较水合处理前与处理后的层状态的扫描电子显微镜照片。
图13为在图8的结构中加入阳极氧化膜的实施例的剖面示意图。
图14为本发明实施方式2的等离子体处理容器内部件的实施例2的层结构的剖面示意图。
图15为在图14的结构中加入阳极氧化膜的实施例的剖面图。
图16为本发明实施方式2的等离子体处理容器内部件的实施例3的层结构的剖面示意图。
图17为本发明实施方式2的等离子体处理容器内部件的实施例3的层结构的剖面示意图。
图18为本发明实施方式2的等离子体处理容器内部件的实施例3的层结构的剖面示意图。
图19为在图16的结构中加入阳极氧化膜的实施例的剖面图
图20为本发明实施方式3的等离子体处理容器内部件的示意图。
图21为现有等离子体处理容器内部件中喷镀膜(掺杂涂层)剥离状态的示意图。
符号说明
2 真空室;2a 沉积保护罩;3 气体喷头;4 装载台;42 静电吸盘;43 聚焦环;44 排气板;71、81 基材;72、76、77、82、84、87膜;74 屏蔽性涂层;75、83 阳极氧化膜;76a、78a、79a 封孔处理部;82a、86a、88a、91 水合处理部
具体实施方式
下面,详细说明本发明的实施方式。
图1为作为具有身为本发明对象的等离子体处理容器内部件的等离子体处理装置的等离子体蚀刻装置一例的纵剖面示意图。图中,2为构成处理容器的真空室,它由铝等导电性材料形成气密结构,且真空室2安全接地。另外,在真空室2的内表面配有圆筒形沉积保护罩2a,防止等离子体损伤内表面。而且,在真空室2内,将兼用作上部电极的气体喷头3与兼用作下部电极的装载台4对置,在底面连接有作为与由例如涡轮分子泵或干式泵等构成的真空排气机构21连通的真空排气路径的排气管22。而在真空室2的侧壁部有用于导入导出被处理体、例如半导体晶片W的开口部23,通过门阀G实现自由开闭。在该侧壁部的外侧,在上下夹持开口部23的位置上,设有分别形成例如环状的永久磁体24、25。
气体喷头3的结构为,在与装载台4上的被处理体W相对的位置上形成有多个孔31,经这些孔31向被处理体W的表面均匀提供由上部气体供给管32送来的经流量控制或压力控制后的处理气体。
在气体喷头3的下方以约5mm~150mm的间隔设有装载台4,由例如表面经铝氧化处理的铝等构成的由绝缘部件41a形成与真空室2绝缘的圆柱形的主体部41、设置在该主体部41上面的静电吸盘42、围绕该静电吸盘42周围的环状聚焦环43、和设置在该聚焦环43与主体部41之间的环状的用作绝缘部件的绝缘环43A。另外,聚焦环43可根据工艺选择绝缘性或导电性材料,产生封入或扩散反应性离子的作用。
在装载台4的例如主体部41上,经电容C1和线圈L1连接高频电源40,施加例如13.56MHz~100MHz的高频功率。
而在装载台4的内部,分别设有冷却套等温度调节机构55a、和向被处理体W的里面提供例如He气的传热气体提供机构55b,通过驱动该温度调节机构55a和传热气体提供机构55b,可将保持在装载台4上的被处理体W的处理面温度设定成期望值。温度调节机构55a具有经冷却套使冷媒循环的导入管56和排出管57,将调节到适当温度的冷媒经导入管56输送到冷却套内,进行了热交换后的冷媒经排出管57排出到外部。
在装载台4与真空室2之间,低于装载台4表面的下侧,包围着装载台4地配置着穿设有多个排气孔的环状排气板44。通过该排气板44,在调节排气流的流量的同时,在装载台4与气体喷头3之间封入适当的等离子体。并且,在装载台4的内部,设有多个、例如3个(仅图示2个)可自由伸缩的用于与外部未图示的搬运臂之间传递被处理体W的升降部件——升降销51,该升降销51构成可经连结部件52由驱动机构53升降的结构。54是用于保持升降销51的贯通孔与大气一侧之间的气密性的膜盒。
在这种等离子体蚀刻处理装置中,首先,经门阀G和开口部23将被处理体W搬入真空室2内,装载在静电吸盘42上,在关闭门阀G后,由真空排气机构21经排气管22由真空室2内排气到设定真空度。而在向真空室2内供给处理气体时,同时由直流电源47向吸盘电极46施加直流电压,由静电吸盘42静电吸附被处理体W,在该状态下,从高频电源40向装载台4的主体部41施加规定频率的高频功率,由此,在气体喷头3与装载台4之间产生高频电场,将处理气体等离子化,对静电吸盘42上的被处理体W实施蚀刻处理。
将以C4F8或NF3等氟化物、BCl3或SnCl4等氯化物、HBr等溴化物为主的含卤素气体用作处理气体。因此,真空室2内变为极强的腐蚀环境,所以要求例如,沉积保护罩2a、排气板44、聚焦环43、喷头3、装载台4、静电吸盘42、以及真空室2的内壁材料等真空室2内的部件,即等离子体处理容器内部件具有很强的耐等离子体性。
下面,详细说明作为本发明对象的处理容器内部件。
(1)实施方式1
当将在基材上形成喷镀膜的部件用作这种处理容器内部件时,以前会产生喷镀膜剥离,根据本发明人等的研究结果,发现:处理气体或清洗液由喷镀膜的贯通气孔(细微孔)、与喷镀膜的交界部或由被等离子体或气体等损伤的部位等侵入,并到达基材,造成基材表面腐蚀,以致发生等离子体处理容器内部件的喷镀膜剥离。
即,在准备好使用含氟化物的处理气体实施等离子处理的处理容器内的部件后,分析与喷镀膜的交界面(基材表面),可确认该部分中的F(氟),由此可推测:因F与水分(OH)反应生成HF,使基材表面产生腐蚀变化(产生腐蚀生成物),从而导致喷镀膜剥离。
因此,重要之处在于,不能使与喷镀膜的交界面、即基材表面暴露于处理气体或清洗液中。
根据这种认识,在实施方式1中,在图1的沉积保护罩2a、排气板44、聚焦环43、喷头3、装载台4、静电吸盘42、以及真空室2的内壁材料等真空室2内的部件、即等离子体处理容器内部件中,在由喷镀膜的表面到基材的任一位置上,形成即使暴露于处理气体或清洗液中也难被腐蚀、从而可防止气体或清洗液到达基材表面具有屏蔽功能的部分。
通过由这种耐腐蚀性好的材料形成具有屏蔽功能的部分,可保护基材表面不受通过喷镀膜的贯通气孔(细微孔)侵入的气体或清洗液的损伤。另外,若具有屏蔽功能的部分与基材结合,则通过选择高结合性材料作为其材料,就可保护基材表面不受处理气体或清洗液由具有屏蔽功能的部分与基材表面的交界面侵入的影响。
下面,详细描述实施方式1中的具体结构。
首先,本实施方式实施例1的等离子体处理容器内部件如图2所示,基本上由基材71和形成在其表面的膜72构成。膜72具有由喷镀形成的主层73、和位于基材71与主层之间的、具有即使暴露于处理气体或清洗液中也难以被腐蚀的屏蔽功能的屏蔽性涂层74。
作为成为上述膜72的实施对象的基材71,优选为使用包括不锈钢(SUS)等的各种钢材、Al和Al合金、W和W合金、Ti和Ti合金、Mo和Mo合金、碳和氧化或非氧化陶瓷烧结体和碳素材料等。
作为屏蔽性涂层74的材质,优选为含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷,具体而言,优选为选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2o3中的至少1种陶瓷。例如可使用东洋铝化株式会社(TOCALO KABUSHIKIKAISHA)制“CDC-ZAC”、“Super ZAC”等。“CDC-ZAC”是以Cr2O3为主要成分的复合陶瓷,具有无气孔、高硬度、高结合力等性质。另一方面,“Super ZAC”是以SiO2或Cr2O3为主要成分的复合陶瓷,除无气孔、高硬度、高结合力外,耐热性和耐磨损性也很好。该屏蔽性涂层74优选为利用喷镀法形成。喷镀法是将利用燃烧气体、或电等热源熔融后的原料喷涂到母材上形成皮膜的方法。另外,屏蔽层74也可通过PVD法或CVD法等薄膜形成技术、浸渍法或涂布法等方法形成。所谓PVD法是利用离子电镀法在低温下涂布各种陶瓷膜的方法,另一方面,CVD法是利用热化学蒸镀法在高温下涂布单层或多层镀层的方法。而浸渍法是将各种材料浸渍在树脂溶液中后实施热处理的方法,涂布法是在各种材料上涂布树脂溶液后在规定温度下进行热处理的方法。屏蔽性涂层74的厚度优选为50~100微米。
此时,优选为对屏蔽性涂层74的至少一部分、例如与基材71的接合面侧或全体实施使用树脂的封孔处理。作为用于此时的树脂,优选为选自SI、PTFE、PI、PAI、PEI、PBI和PFA。即,在由上述喷镀法等形成由陶瓷构成的屏蔽性涂层74的情况下,形成具有贯通气孔(细微孔)的多孔质层,而通过用树脂封住该多孔质层至少部分的细微孔,就可提高阻止气体或清洗液由作为喷镀膜的主层73的细微孔侵入的效果,从而可有效保护基材71。
另外,SI是指硅,PTFE是指聚四氟乙烯,PI是指聚酰亚胺,PAI是指聚酰胺亚胺,PEI是指聚醚酰亚胺,PBI是指聚苯并咪唑,PFA是指全氟烷氧基烷烃。
封孔处理也可采用溶胶凝胶法。基于溶胶凝胶法实施的封孔处理是在使用陶瓷分散到有机溶剂中形成的溶胶(胶体溶液)封孔后,通过加热进行凝胶化而实现。用陶瓷实现封孔可提高屏蔽效应。此时的封孔处理优选为使用选自属于周期表第3A族的元素进行。其中,优选为耐蚀性高的Y2O3
另外,作为屏蔽性涂层74的其它材质,优选使用工程塑料。具体而言,优选为选自PTFE、PI、PAI、PEI、PBI、PFA、PPS、POM的树脂,例如可使用杜邦公司制的“特氟隆(Teflon杜邦公司制造)”(PTFE)等。这些树脂结合性好,耐药性高,还可充分承受清洁时的清洗液。
另外,PTFE是指聚四氟乙烯,PI是指聚酰亚胺,PAI是指聚酰胺亚胺,PEI是指聚醚酰亚胺,PBI是指聚苯并咪唑,PFA是指全氟烷氧基烷烃,PPS是指聚苯撑硫化物,POM是指聚乙缩醛。
并且,如图3所示,在基材71与屏蔽性涂层74之间形成阳极氧化膜75。此时,优选为利用草酸、铬酸、磷酸、硝酸、蚁酸、或磺酸等有机酸形成阳极氧化膜,因为这与由硫酸进行阳极氧化处理的情况相比,可形成耐腐蚀性好的氧化膜,并可进一步抑制处理气体或清洗液的腐蚀。阳极氧化膜75的膜厚优选为10~200微米。
这样,在基材71与屏蔽性涂层74之间形成阳极氧化膜75的情况下,通过封住阳极氧化膜75的细微孔,可极大地提高耐蚀性。此时,优选为将材料浸渍在含Ni等金属盐的热水中,在氧化膜的细微孔中,使金属盐水溶液水解形成氢氧化物沉淀,从而实现封孔的金属盐封孔等。并且,可以预见,使用用于屏蔽性涂层74的封孔处理的树脂(选自SI、PTFE、PI、PAI、PEI、PBI、PFA)对阳极氧化膜75的细微孔进行封孔处理也能取得同样的效果。
另外,作为形成于基材71表面的阳极氧化膜75,可使用具有多孔质陶瓷层的阳极氧化膜(KEPLA-COAT:开普勒涂层)。
另外,可将阳极作为基材浸渍在碱类有机电解液中,使氧等离子体在该碱类有机电解液中放电,由此形成该阳极氧化膜(KEPLA-COAT)。
作为喷镀膜的主层73优选为含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素,具体而言,优选为选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷。此时,主层73的膜厚优选为10~500微米。
当制造这种结构的等离子体处理容器内部件时,首先,对基材71的表面实施喷涂Al2O3、SiC或砂等颗粒的喷砂处理,使表面微观上变为凹凸状,提高形成于其上的屏蔽性涂层74或阳极氧化膜75的结合性。另外,作为表面形成凹凸的方法,不限于上述喷砂处理,也可通过例如浸入规定药液中进行表面蚀刻。
然后,直接或经阳极氧化膜75利用喷镀法等上述适当方法在基材71上形成上述屏蔽性涂层74。根据需要进行上述封孔处理。在封孔处理时,可在屏蔽性涂层74的表面涂布上述树脂或陶瓷的溶胶,或将伴随屏蔽性涂层74的基材71浸渍在树脂封孔剂或陶瓷溶胶中。当用陶瓷溶胶进行封孔时,随后加热,然后凝胶化。
在形成屏蔽性涂层74后,接着在其上形成由选自B4C、MgO、Al2O3、SiC、Si3N 4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷构成的主层73作为喷镀膜。另外,屏蔽性涂层74选择结合性好的,而为了进一步提高与主层73的结合性,也可对屏蔽性涂层74的表面实施喷砂处理等。
如上所述,在本例中,在作为喷镀膜的主层73与基材71之间形成由对含卤素的处理气体或清洗液的耐腐蚀性好的材料构成的屏蔽性涂层74,使基材71的表面不暴露于处理气体(卤素)或清洗液中,所以,就能够解决因在基材71表面产生腐蚀生成物而使基材71上的喷镀膜72剥离的等问题。
下面说明本实施方式的实施例2。
在实施例2中,如图4(a)、(b)、(c)所示,在基材71的表面上形成由喷镀陶瓷而形成的膜76,并在膜76的至少一部分形成封孔处理部76a。在图4(a)的例中,在膜76的基材71侧形成封孔处理部76a,在图4(b)的例中,在膜76的表面侧形成封孔处理部76a,在图4(c)的例中,膜76整体作为封孔处理部76a。
膜76含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce及Nd中的至少1种元素,具体而言,优选为选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷。此时,膜76的膜厚优选为50~300微米。另外,可使用与实施例1完全相同的基材作为基材700。
封孔处理部76a的形成可采用与对上述实施例1的屏蔽层74实施的方法完全一样的树脂封孔或溶胶凝胶法的封孔法。这样,由于形成有封孔处理部76a,可有效阻止气体或清洗液通过作为喷镀膜的膜76的细微孔侵入,可充分保护基材71。该封孔处理部76a由此阻止气体或清洗液到达基材71,所以,上述图4(a)~(c)任一图所示例都可发挥该效果。其中,如图4(a)所示,优选为在膜76的基材71侧形成封孔处理部76a。即,当在由向高真空区域(例如13.3Pa)施加高频功率而构成的等离子体环境中使用对喷镀膜实施封孔处理后的处理容器内部件时,通过封孔剂中的稀释有机溶剂(例如醋酸乙酯)蒸发,或通过等离子体或处理气体等腐蚀封孔剂,从而再次在喷镀膜中形成气孔(细微孔)。由该气孔使处理容器内部试剂的表面状态(温度或生成物的附着状态等)随时间变化,就有可能对处理容器内的处理造成坏影响。因此,如图4(a)所示,若未对膜76的表面侧实施封孔处理,则可抑制膜76的表面改性,从而可稳定实施处理。另外,封孔处理部76a不限于上述图4(a)~(c)所示位置,也可形成于例如膜76的中间位置。封孔处理部76a的厚度优选为50~100微米。
在本例中,如图5所示,优选为在基材71与膜76之间形成与上述实施例1完全一样的阳极氧化膜75。而此时优选为对该阳极氧化膜75进行封孔处理,作为该封孔处理,可使用与上述实施例一样的金属盐封孔等。
下面说明本实施方式的实施例3。
在实施例3中,如图6(a)、(b)所示,在基材71的表面,通过喷镀陶瓷形成膜77,膜77形成由第1陶瓷层78与第2陶瓷层79构成的双层结构,其中至少一方的至少一部分形成封孔处理部。在图6(a)的例中,在表面侧的第1陶瓷层78形成封孔处理部78a,在图6(b)中,在基材71侧的第2陶瓷层79形成封孔处理部79a。
构成膜77的第1陶瓷层78与第2陶瓷层79都含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce及Nd中的至少1种元素,具体而言,优选为选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷。此时,膜77的膜厚优选为50~300微米。另外,基材71可使用与实施例1完全一样的基材。
封孔处理部78a、79a的形成可采用与对上述实施例1的屏蔽层74所实施的方法完全一样的树脂封孔或溶胶凝胶法的封孔法。这样,由于形成有封孔处理部78a、79a,可有效阻止气体或清洗液通过作为喷镀膜的第1和第2陶瓷层78、79的细微孔侵入,可充分保护基材71。该封孔处理部78a、79a由此阻止气体或清洗液到达基材71,所以,只要能发挥该功能,就不限定封孔处理部78a、79a的位置,另外,也可将层整体作为封孔处理部。另外,也可在第1和第2陶瓷层78、79双方都形成封孔处理部。封孔处理部78a、79a的厚度优选为50~100微米。
这样,形成于基材71上的膜77通过采用双层结构,可根据耐蚀性和屏蔽性的要求适当设定这两层的材料,通过对预期位置实施封孔处理,使用时可有极高的自由度。例如,若将Y2O3用作表面侧的第1陶瓷层78,将YF3或Al2O3用作基材71侧的第2陶瓷层79,对第2陶瓷层79的至少一部分实施封孔处理,则可极大提高耐蚀性和屏蔽性。
在本例中,如图7所示,优选为在基材71与膜77之间形成与上述实施例1完全一样的阳极氧化膜75。而此时优选为对该阳极氧化膜75进行封孔处理,作为该封孔处理,可使用与上述实施例一样的金属盐封孔等。
为确定上述效果,分别准备在Al合金基材上形成有Y2O3喷镀膜的试料1,在Al合金基材上形成有与其中间隔着树脂(PTFE)屏蔽性涂层的Y2O3喷镀膜的试料2,在Al合金基材上形成有Y2O3喷镀膜、且其一部分由树脂进行封孔处理的试料3,向各试料1~3的表面滴加氟酸(HF)溶液,与置于等离子环境下的喷镀膜的表面状态比较。具体而言,在各试料表面滴加浓度38%的氟酸溶液10μL,在50℃下加热3小时,然后,将试料放置在CF气等离子环境气体中3分钟。结果发现:未针对喷镀膜剥离进行处理的试料1整个表面都产生裂纹,而在基材与喷镀膜之间形成由屏蔽性涂层的试料2和部分喷镀膜由树脂进行了封孔处理的试料3则未发生裂纹,防止了处理气体或清洗液等的侵入,从而对基材表面构成保护。
(2)实施方式2
当将Al2O3或Y2O3用于等离子体处理容器的壁材料或其它等离子体处理容器内部件时,易于与空气中的水分反应,所以,当作为处理容器的真空室与大气相通或湿洗真空室时会大量吸入水分,而产生各种问题,但根据本发明人等的研究结果,发现:通过对含有Y2O3等属于周期表第3A族的元素的陶瓷实施水合处理,或通过形成含有这些元素的氢氧化物,可消除这种缺陷。
根据这种认识,在实施方式2中,在图1的沉积保护罩2a、排气板44、聚焦环43、喷头3、装载台4、静电吸盘42、以及真空室2的内壁材料等真空室2内的部件、即等离子体处理容器内部件中,形成对含有属于周期表第3A族的元素的陶瓷实施水合处理的部分、或其至少一部分含有上述元素的氢氧化物。
由此,可形成既难吸水、又难脱水的结构,从而得到等离子处理时难以发生脱水的等离子体处理容器内部件。
首先,在实施例1中,如图8所示,在基材81上形成由含有属于周期表第3A族的元素的陶瓷构成的膜82,例如至少在其表面部分形成水合处理部82a。
基材81与上述基材71一样,优选使用包括不锈钢(SUS)等各种钢材,Al和Al合金、W和W合金、Ti和Ti合金、Mo和Mo合金、碳和氧化或非氧化物陶瓷烧结体和碳素材料等。
膜82可由含有属于周期表第3A族的元素的陶瓷构成,优选为含有属于周期表第3A族的元素的氧化物。而其中优选为Y2O3、CeO2、Ce2O3、Nd2O3,而其中特别优选为现在常用的、具有高抗蚀性的Y2O3
优选为通过喷镀法、PVD法或CVD法等薄膜形成技术形成膜82。此外也可通过浸渍法或涂布法等方法形成。
可通过例如使膜82与水蒸汽或高温水反应而发生水合反应形成水合处理部82a。在使用Y2O3作为陶瓷的情况下,发生下式(1)式所述反应。
          (1)
其中,上述式(1)不考虑价数。
如式(1)所示,通过水合处理,最终形成Y的氢氧化物。其它属于周期表第3A族的元素也可通过大致一样的反应形成这种氢氧化物。作为这种氢氧化物,优选为Y(OH)3、Ce(OH)3、Nd(OH)3
为了便于确认,先准备在基材上形成Y2O3的喷镀膜的试件,在80℃的高温水中浸渍150小时并进行水合处理后,在室温下干燥,对经过这种处理的试件进行X射线衍射测定。结果,如图9(a)、(b)所示,仅在进行了水合处理的试件中确认存在Y(OH)3,因此确认通过水合处理可形成氢氧化物。
属于周期表第3A族的元素的氢氧化物非常稳定,具有难以脱去结晶水且难以吸附水的化学性质,通过由水合处理形成这种氢氧化物,可避免处理中银水分导致的缺陷。
为了确认这种水合处理的效果,在基材上形成200微米左右的Y2O3喷镀膜,准备在沸水中进行3小时处理后的试件和未经该处理的试件,向两者喷涂IPA。另外,IPA的吸附性比水高,因此,IPA喷涂可加速试验。试验结果如图10所示,未进行水合处理的试件吸附IPA,未进行水合处理的试件完全不能吸附。因此,可确认很难通过水合处理吸水。
然后,同上所述,在基材上形成200微米左右的Y2O3喷镀膜,准备在沸水中进行3小时处理后的试件和未经该处理的试件,在其上涂布树脂,然后切断以确认剖面。结果如图11(a)、(b)所示,尽管二者的表面状态没有差别,但在未经处理的情况下,膜整体透明,树脂浸透整体,相反,在经过处理的情况下,仅表层些许部分透明,内部则变白,树脂基本上未能浸透。即,由此可知,通过水合处理可变成憎水性。另外,如图11(c)所示,若水合处理后除去20微米左右,则该部分变透明,由此确认通过除去20微米左右经水合处理后的表层,可降低憎水性。
另外,就H2O对Y2O3表面造成的影响而言,在Langmuir,Vol.16,No.17,2000的6937-6947页中所记载的黑田等人的论文“Specific AdsorptionBehavior of Water on a Y2O3 Surface”中有详细描述。
下面,具体说明水合处理。
通过在富含水蒸汽的环境下进行热处理或在沸水中进行处理而进行水合处理。由此,在例如氧化钇(Y2O3)分子的周围吸引多个水分子并与之结合,形成一个稳定的分子团。此时,以水蒸汽的分压、热处理温度、热处理时间等为参数。例如,通过在相对湿度大于90%的环境中,在100~300℃左右的炉中进行24小时左右的加热处理,可形成稳定的氢氧化物。并且,当相对湿度或热处理温度低时,可延长处理时间。为了高效进行水合处理,优选为在高温、高压下进行处理。在氧化钇表面的水合反应即使基本上在室温程度下进行,但只要时间足够长,还是能够充分反应,所以除上述条件之外,也可得到相同的最终状态。另外,在进行水合处理时,与使用纯水进行水合处理相比,使用含离子水(pH值大于7的碱性水)实施水合处理的憎水性更好。
另外,不限于水合处理,只要通过例如在原料阶段生成氢氧化物等,能最终生成氢氧化物,则也可采用其它方法。在用喷镀法制膜时,由于原料暴露在高温环境下,所以若在原料阶段形成氢氧化物,则氢氧化物有可能变成氧化物,但此时也可通过在高湿度环境下进行喷镀形成氢氧化物膜。这样,也可通过其它方法直接形成氢氧化物而不是形成水合处理部。
为使形成既难吸水又难脱水的膜82,必须在膜82的表面部分形成氢氧化物层或水合处理部。此时氢氧化物膜或水合处理部的厚度优选为大于100微米,优选为按照应用场所设定最佳厚度。
通过对含有属于周期表第3A族的元素的陶瓷进行水合处理,还可促进致密化。例如,就由喷镀形成的Y2O3膜而言,在水合处理前为如图12(a)所示的多孔状,但经过水合处理,如图12(b)所示实现致密化。通过这种致密化,除上述效果外,还可得到实施方式1的屏蔽效应。
从仅得到屏蔽效应的方面看,通过水合处理生成氢氧化物的水合处理部82a不一定必须位于表面,而是可形成于膜82的任意位置上。在其它方法中,当形成转为氢氧化物的氢氧化物层时,优选为如上所述树脂或由溶胶凝胶法进行封孔处理而得到的成品。在本例中,如图13所示,与实施方式1一样,在基材81与膜82之间形成与实施方式1完全一样的阳极氧化膜83。另外,与实施方式1一样,优选为对该阳极氧化膜83进行封孔处理,可使用与同上所述的金属盐封孔等封孔处理。
下面说明本实施方式的实施例2。
在实施例2中,如图14(a)、(b)所示,在基材81的表面上形成膜84,膜84为由第1陶瓷层85与第2陶瓷层86形成的双层结构,在至少一方的至少一部分形成水合处理部。在图14(a)的例中,在表面侧的第1陶瓷层85形成水合处理部85a,而在图14(b)的例中,在基材81侧的第2陶瓷层86形成水合处理部86a。
构成膜84的第1陶瓷层85和第2陶瓷层都与实施例1一样,由含有属于周期表第3A族的元素的陶瓷构成,优选为含有属于周期表第3A族的元素的氧化物,其中,优选为Y2O3、CeO2、Ce2O3、Nd2O3,特别优选为Y2O3。另外,基材81可使用与实施例1完全一样的基材。
该第1和第2陶瓷层85、86与实施例1中的膜82一样,可通过喷镀法、PVD法或CVD法等薄膜形成技术形成。另外,此外,也可通过浸渍法或涂布法等其它方法形成。
水合处理部85a、86a可与实施例1中的水合处理部82a完全一样地形成。如图14(a)所示,当膜84的表面有水合处理部的情况下,可构成既难吸水又难脱水,如图14(b)所示,在膜84的内部有水合处理部的情况下,可有效发挥屏蔽效应。为了形成膜84内部的水合处理部86a,应在基材81上制造第2陶瓷层86后进行水合处理,再形成第1陶瓷层85。水合处理部85a、86a的厚度优选为大于100微米。
这样,形成于基材81上的膜84通过采用双层结构,可根据所需特性适当设定这两层材料和水合处理的位置,使用时可有极高的自由度。
在本例中,如图15所示,也可在基材81与膜84之间形成与实施例1完全一样的阳极氧化膜83。
下面说明本实施方式的实施例3。
在实施例3中,如图16所示,在基材81的表面形成膜87,膜87具有由含有属于周期表第3A族的至少1种元素的陶瓷构成的第1陶瓷层88和通过陶瓷喷镀形成的第2陶瓷层89,在第1陶瓷层88的表面部分形成水合处理部88a。
作为第1陶瓷层88的含有属于周期表第3A族的元素的陶瓷,优选为含有属于周期表第3A族的元素的氧化物,其中,优选为Y2O3、CeO2、Ce2O3、Nd2O3,特别优选为Y2O3。第1陶瓷层88的膜厚优选为100~300微米。第1陶瓷层88与实施例1中的膜82一样,可通过喷镀法、PVD法或CVD法等薄膜形成技术形成。另外,也可通过浸渍法或涂布法等其它方法形成。
作为第2陶瓷层89,优选为含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素,具体而言,优选为选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷。第2陶瓷层89的膜厚优选为50~300微米。另外基材81可使用与实施例1完全一样的基材。
水合处理部88a可与实施例1中的水合处理部82a完全一样地形成。这样,由于在膜87的表面形成水合处理部,所以可构成既难吸水、又难脱水的结构。另外,在第1陶瓷层88的内部形成水合处理部88a,从而可发挥屏蔽效应。水合处理部88a的厚度优选为大于100微米。
如图17所示,优选为在第2陶瓷层89形成封孔处理部89a。封孔处理部89a可通过与上述实施方式1中所说明的完全一样的树脂封孔或溶胶凝胶法封孔而形成。这样,通过设置封孔处理部89a,可有效阻止气体或清洗液通过作为喷镀膜的第2陶瓷层89的细微孔侵入,可充分保护基材81。另外,封孔处理部89a可形成于第2陶瓷层89的任意位置。
通过图16、图17所示结构,在耐蚀性好的同时,通过第1陶瓷层88的水合处理部88a,还可构成既难吸水、又难脱水的构造,并且通过第2陶瓷层89的屏蔽效应,可有效保护基材81。尤其是在图17的结构中,由于存在封孔处理部89a,所以可进一步提高屏蔽效应。
另外,如图18所示,也可颠倒第1陶瓷层88与第2陶瓷层89。此时,基材81侧的第1陶瓷层88的水合处理部88a可有效发挥屏蔽效应,从而可提高对基材81的保护效果。
在本例中,如图19所示,也可在基材81与膜87之间形成与上述实施例1完全一样的阳极氧化膜83。
下面说明实施方式3。
根据本实施方式的等离子体处理容器内部件如图20所示,在含有属于周期表第3A族的元素的陶瓷烧结体90的表面形成水合处理部91。水合处理部91与实施方式2形成方式完全一样,通过水合处理形成含有属于周期表第3A族的元素的氧化物。
这样通过在表面形成水合处理部91,可构成既难吸水、又难脱水的结构。此时水合处理部91乃至氢氧化物膜的厚度优选为大于100微米。
在本实施方式中,与实施方式2一样,优选为含有属于周期表第3A族的元素的陶瓷、含有属于周期表第3A族的元素的氧化物。其中,优选为Y2O3、CeO2、Ce2O3、Nd2O3,特别优选为Y2O3
另外,本发明不限于上述实施方式,可有各种变形。例如,在上述实施方式中,如图1所示,举例说明了作为永久磁体的磁控管型平行平板型等离子体蚀刻装置的等离子体处理容器内部件的沉积保护罩2a、排气板44、聚焦环43、喷头3、装载台4、静电吸盘42、以及真空室2的内壁材料适用于本发明的实例,但本发明不限于这种结构的装置,不仅可用于不使用磁控管的平行平板型等离子体蚀刻装置、或感应耦合型等其它等离子体蚀刻装置、以及蚀刻装置,还可适用于进行除蚀刻以外的灰化处理或成膜处理等各种等离子处理的装置、以及用于不仅对半导体晶片还对LCD用玻璃基板实施处理的等离子体处理装置中的所有等离子体处理容器内部件。
产业可利用性
本发明的等离子体处理容器内部件,特别是由耐蚀性高的陶瓷构成的形成于基材上的膜,设有具有屏蔽作用的部分,所以适用于高腐蚀性环境的等离子处理中。另外,对含有属于周期表第3A族的元素的陶瓷实施水合处理,形成对水稳定的结构,所以适用作因水分易导致问题的等离子体处理容器的内部件。

Claims (34)

1.一种等离子体处理容器内部件,具有基材和在其表面通过喷镀陶瓷而形成的膜,其特征在于,
构成所述膜的陶瓷含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素,其中,至少一部分由树脂进行封孔处理。
2.一种等离子体处理容器内部件,具有基材和在其表面通过喷镀陶瓷而形成的膜,其特征在于,
所述膜具有第1陶瓷层和第2陶瓷层,所述第1陶瓷层由含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷构成;所述第2陶瓷层由含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷构成,所述第1和第2陶瓷层至少一方的至少一部分由树脂进行封孔处理。
3.如权利要求1所述的等离子体处理容器内部件,其特征在于,所述树脂选自SI、PTFE、PI、PAI、PEI、PBI和PFA,其中,SI是指硅,PTFE是指聚四氟乙烯,PI是指聚酰亚胺,PAI是指聚酰胺亚胺,PEI是指聚醚酰亚胺,PBI是指聚苯并咪唑,PFA是指全氟烷氧基烷烃。
4.一种等离子体处理容器内部件,具有基材和通过在其表面喷镀陶瓷而形成的膜,其特征在于,
构成所述膜的陶瓷含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素,其至少一部分由溶胶凝胶法进行封孔处理。
5.一种等离子体处理容器内部件,具有基材和通过在其表面喷镀陶瓷而形成的膜,其特征在于,
所述膜具有第1陶瓷层和第2陶瓷层,所述第1陶瓷层由含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷构成;所述第2陶瓷层由含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的至少1种元素的陶瓷构成,所述第1和第2陶瓷层的至少一方的至少一部分由溶胶凝胶法进行封孔处理。
6.如权利要求4所述的等离子体处理容器内部件,其特征在于,所述封孔处理使用选自属于周期表第3A族的元素中的元素进行。
7.如权利要求1~6任一项所述的等离子体处理容器内部件,其特征在于,
所述陶瓷选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种。
8.一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于,
所述膜具有通过喷镀陶瓷而形成的主层,和
由含有选自B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce和Nd中的元素的陶瓷构成的屏蔽性涂层。
9.如权利要求8所述的等离子体处理容器内部件,其特征在于,
所述屏蔽性涂层由选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷构成。
10.如权利要求8所述的等离子体处理容器内部件,其特征在于,所述屏蔽性涂层为其至少一部分由树脂进行封孔处理后的喷镀膜。
11.如权利要求10所述的等离子体处理容器内部件,其特征在于,所述树脂选自SI、PTFE、PI、PAI、PEI、PBI和PFA,其中,SI是指硅,PTFE是指聚四氟乙烯,PI是指聚酰亚胺,PAI是指聚酰胺亚胺,PEI是指聚醚酰亚胺,PBI是指聚苯并咪唑,PFA是指全氟烷氧基烷烃。
12.如权利要求8所述的等离子体处理容器内部件,其特征在于,所述屏蔽性涂层为其至少一部分由溶胶凝胶法进行封孔处理后的喷镀膜。
13.如权利要求12所述的等离子体处理容器内部件,其特征在于,所述封孔处理使用选自属于周期表第3A族中的元素进行。
14.一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于,
所述膜具有通过喷镀陶瓷而形成的主层;和
由形成于所述基材与所述主层之间的工程塑料构成的屏蔽性涂层,
所述工程塑料为选自PTFE、PI、PAI、PEI、PBI、PFA、PPS、POM的塑料,其中PTFE是指聚四氟乙烯,PI是指聚酰亚胺,PAI是指聚酰胺亚胺,PEI是指聚醚酰亚胺,PBI是指聚苯并咪唑,PFA是指全氟烷氧基烷烃,PPS是指聚苯撑硫化物,POM是指聚乙缩醛。
15.如权利要求8~14任一项所述的等离子体处理容器内部件,其特征在于,
所述主层由选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷构成。
16.一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于,
所述膜由含有属于周期表第3A族的至少1种元素的陶瓷构成,所述膜的至少一部分由蒸汽或沸腾水进行水合处理。
17.一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于,
所述膜具有由含有属于周期表第3A族的至少1种元素的陶瓷构成的第1陶瓷层、和由含有属于周期表第3A族的至少1种元素的陶瓷构成的第2陶瓷层,所述第1和第2陶瓷层的至少一方的至少一部分由蒸汽或沸腾水进行水合处理。
18.如权利要求16所述的等离子体处理容器内部件,其特征在于,所述膜是通过喷镀形成的喷镀膜或由PVD法或CVD法形成的薄膜。
19.如权利要求16所述的等离子体处理容器内部件,其特征在于,构成所述膜的陶瓷选自Y2O3、CeO2、Ce2O3、Nd2O3
20.一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于,
所述膜具有由含有属于周期表第3A族的至少1种元素的陶瓷构成的第1陶瓷层、和通过喷镀陶瓷形成的第2陶瓷层,所述第1陶瓷层的至少一部分由蒸汽或沸腾水进行水合处理。
21.如权利要求20所述的等离子体处理容器内部件,其特征在于,所述第1陶瓷层是通过喷镀形成的喷镀膜或由PVD法或CVD法形成的薄膜。
22.如权利要求20所述的等离子体处理容器内部件,其特征在于,构成所述第1陶瓷层的陶瓷选自Y2O3、CeO2、Ce2O3、Nd2O3
23.如权利要求20所述的等离子体处理容器内部件,其特征在于,
所述第2陶瓷层由选自B4C、MgO、Al2O3、SiC、Si3N4、SiO2、CaF2、Cr2O3、Y2O3、YF3、ZrO2、TaO2、CeO2、Ce2O3、CeF3和Nd2O3中的至少1种陶瓷构成。
24.一种等离子体处理容器内部件,具有基材和在其表面形成的膜,其特征在于,
所述膜具有由含有属于周期表第3A族的至少1种元素的氢氧化物构成的氢氧化物层。
25.如权利要求24所述的等离子体处理容器内部件,其特征在于,所述氢氧化物层是通过喷镀形成的喷镀膜或由PVD法或CVD法形成的薄膜。
26.如权利要求24所述的等离子体处理容器内部件,其特征在于,构成所述氢氧化物层的氢氧化物选自Y(OH)3、Ce(OH)3、Nd(OH)3
27.如权利要求24所述的等离子体处理容器内部件,其特征在于,所述氢氧化物层的至少一部分经封孔处理。
28.如权利要求1、4、8、14、16、20、24任一项所述的等离子体处理容器内部件,其特征在于,在所述基材与所述膜之间设有阳极氧化膜。
29.如权利要求28所述的等离子体处理容器内部件,其特征在于,所述阳极氧化膜由金属盐水溶液进行封孔处理。
30.如权利要求28所述的等离子体处理容器内部件,其特征在于,所述阳极氧化膜由选自SI、PTFE、PI、PAI、PEI、PBI和PFA的树脂进行封孔处理,其中,SI是指硅,PTFE是指聚四氟乙烯,PI是指聚酰亚胺,PAI是指聚酰胺亚胺,PEI是指聚醚酰亚胺,PBI是指聚苯并咪唑,PFA是指全氟烷氧基烷烃。
31.一种等离子体处理容器内部件,其特征在于,
由含有属于周期表第3A族的至少1种元素的陶瓷烧结体构成,其中的至少一部分由蒸汽或沸腾水进行水合处理。
32.如权利要求31所述的等离子体处理容器内部件,其特征在于,所述陶瓷烧结体为对选自Y2O3、CeO2、Ce2O3、Nd2O3的陶瓷进行水合处理得到的陶瓷烧结体。
33.一种等离子体处理容器内部件,其特征在于,由含有属于周期表第3A族的至少1种元素的氢氧化物的陶瓷烧结体构成。
34.如权利要求33所述的等离子体处理容器内部件,其特征在于,所述陶瓷烧结体中所含氢氧化物选自Y(OH)3、Ce(OH)3、Nd(OH)3
CNB2003101157793A 2002-11-28 2003-11-28 等离子体处理容器内部件 Expired - Fee Related CN1249789C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002345855 2002-11-28
JP2002345855 2002-11-28

Publications (2)

Publication Number Publication Date
CN1516535A CN1516535A (zh) 2004-07-28
CN1249789C true CN1249789C (zh) 2006-04-05

Family

ID=33307843

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003101157793A Expired - Fee Related CN1249789C (zh) 2002-11-28 2003-11-28 等离子体处理容器内部件

Country Status (5)

Country Link
US (3) US7780786B2 (zh)
JP (1) JP4987911B2 (zh)
KR (1) KR100772740B1 (zh)
CN (1) CN1249789C (zh)
TW (1) TW200423195A (zh)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
JP4666576B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の洗浄方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
CN101495668A (zh) * 2005-01-18 2009-07-29 Asm美国公司 晶片支撑销组件
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
US20090130436A1 (en) * 2005-08-22 2009-05-21 Yoshio Harada Spray coating member having excellent heat emmision property and so on and method for producing the same
JP4555865B2 (ja) * 2005-08-22 2010-10-06 トーカロ株式会社 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7595271B2 (en) * 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
KR100819530B1 (ko) * 2006-03-03 2008-04-04 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭장치 및 플라즈마 처리실 내 부재의 형성방법
JP4643478B2 (ja) * 2006-03-20 2011-03-02 トーカロ株式会社 半導体加工装置用セラミック被覆部材の製造方法
JP5014656B2 (ja) * 2006-03-27 2012-08-29 国立大学法人東北大学 プラズマ処理装置用部材およびその製造方法
US20080105203A1 (en) * 2006-09-28 2008-05-08 Tokyo Electron Limited Component for substrate processing apparatus and method of forming film on the component
JP4895275B2 (ja) * 2006-09-28 2012-03-14 東京エレクトロン株式会社 基板処理装置用の部品及び皮膜形成方法
CN101522946B (zh) * 2006-10-06 2012-06-13 迦南精机株式会社 耐腐蚀性构件及其制备方法
US7571893B2 (en) 2006-11-16 2009-08-11 Asm America, Inc. Valve with high temperature rating
US7906170B2 (en) * 2007-03-27 2011-03-15 Intel Corporation Apparatus, method, and system capable of producing a moveable magnetic field
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
TWI483291B (zh) * 2007-04-27 2015-05-01 Applied Materials Inc 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
TW200935555A (en) * 2007-12-20 2009-08-16 Saint Gobain Ceramics & Plastics Inc Electrostatic chuck and method of forming
KR100872328B1 (ko) 2008-02-11 2008-12-05 주식회사 코미코 플라즈마 처리 장치 내부재 및 그 제조 방법
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5415853B2 (ja) * 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
JP5497765B2 (ja) * 2009-08-04 2014-05-21 キヤノンアネルバ株式会社 加熱処理装置および半導体デバイスの製造方法
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8860424B1 (en) * 2011-03-10 2014-10-14 Solar Junction Corporation Apparatus and method for highly accelerated life testing of solar cells
JP5741921B2 (ja) * 2011-04-08 2015-07-01 株式会社日立国際電気 基板処理装置、基板処理装置に用いられる反応管の表面へのコーティング膜の形成方法、および、太陽電池の製造方法
US9129795B2 (en) * 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
KR101419707B1 (ko) * 2012-10-26 2014-07-16 한양대학교 산학협력단 정전분무 슬러리 증착 공정을 이용한 내플라즈마 세라믹층 형성방법 및 이를 이용한 리프트 핀의 제조방법
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
WO2014076829A1 (ja) * 2012-11-19 2014-05-22 株式会社 日立製作所 遮熱コーティング膜を有するガスタービン部材
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
CN104241069B (zh) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 等离子体装置内具有氧化钇包覆层的部件及其制造方法
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9433070B2 (en) 2013-12-13 2016-08-30 Kla-Tencor Corporation Plasma cell with floating flange
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
KR101587793B1 (ko) * 2013-12-30 2016-01-22 주식회사 테스 히터 보호용 프로세스 키트 및 이를 이용한 챔버 세정방법
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
CN105295455B (zh) * 2014-06-30 2018-03-27 惠州市华俣实业有限公司 一种具有防水、防划伤和抗指纹特性的纳米水性涂料及其制备方法和用途
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10903055B2 (en) 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
KR101775135B1 (ko) * 2016-06-01 2017-09-26 (주)브이앤아이솔루션 정전척의 제조방법
KR101797927B1 (ko) * 2016-06-01 2017-11-15 (주)브이앤아이솔루션 정전척
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR101694754B1 (ko) * 2016-09-08 2017-01-11 (주)브이앤아이솔루션 정전척 및 그 제조방법
KR101934985B1 (ko) * 2016-09-30 2019-01-04 세메스 주식회사 기판 처리 장치 및 이의 표면을 안정화시키는 방법
TWM563652U (zh) * 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
KR20180080429A (ko) * 2017-01-04 2018-07-12 한국세라믹기술원 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180240649A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Surface coating for plasma processing chamber components
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
JP6863107B2 (ja) 2017-06-13 2021-04-21 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体
US11697766B2 (en) 2017-07-14 2023-07-11 Inficon Holding Ag Method for the controlled removal of a protective layer from a surface of a component
TWI756475B (zh) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 抑制粒子產生之方法及真空裝置
JP7062777B2 (ja) * 2017-10-09 2022-05-06 ジーケイエヌ エアロスペース トランスパランシー システムズ インコーポレイテッド 陽極酸化物および希土類酸化物を含む、金属のための疎水性コーティングおよびその適用方法
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
JP7087830B2 (ja) * 2018-03-22 2022-06-21 日立金属株式会社 R-t-b系焼結磁石の製造方法
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
JP7097758B2 (ja) * 2018-06-21 2022-07-08 東京エレクトロン株式会社 シャワーヘッドおよびプラズマ処理装置
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
TWI741320B (zh) * 2018-07-18 2021-10-01 日商日本發條股份有限公司 電漿處理裝置用構件
CN109440052A (zh) * 2018-11-29 2019-03-08 沈阳富创精密设备有限公司 一种大气等离子体喷涂氧化钇涂层的复合涂层制备方法
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP6787438B2 (ja) * 2019-04-25 2020-11-18 栗田工業株式会社 アルミニウム又はアルミニウム合金の陽極酸化処理面の封孔処理方法
CN109944943A (zh) * 2019-04-28 2019-06-28 中微半导体设备(上海)股份有限公司 用于真空处理设备的密封装置和真空处理设备
CN112635281B (zh) * 2019-09-24 2024-04-05 中微半导体设备(上海)股份有限公司 零部件及其封孔方法、等离子体处理装置及其工作方法
JP2022553646A (ja) * 2019-10-10 2022-12-26 ラム リサーチ コーポレーション プラズマチャンバコンポーネントの無機コーティング
CN112713072B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体处理腔室内部部件及其制造方法
EP4061977A4 (en) * 2019-11-21 2023-04-26 Callidus Process Solutions Pty Ltd TWO-LAYER PROTECTIVE COATINGS FOR METALLIC COMPONENTS
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
CN110951458A (zh) * 2019-12-25 2020-04-03 连云港高品再生资源有限公司 一种纳米稀土研磨剂制备装置及其制备方法
CN113549863B (zh) * 2020-04-26 2022-10-11 中国兵器工业第五九研究所 耐磨超疏水基体防护涂层及其制备方法
CN113808898B (zh) * 2020-06-16 2023-12-29 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀零部件和反应装置及复合涂层形成方法
CN111763901A (zh) * 2020-07-03 2020-10-13 山东昌丰轮胎有限公司 一种带有防粘涂层的轮胎模具
KR20230058069A (ko) * 2020-09-09 2023-05-02 미쓰비시 마테리알 가부시키가이샤 내플라즈마 코팅막, 그 막 형성용 졸 겔액, 내플라즈마 코팅막의 형성 방법 및 내플라즈마 코팅막 형성 기재
KR102447735B1 (ko) * 2020-11-16 2022-09-27 한국세라믹기술원 PCS 단섬유의 불융화 디바이스 및 이를 이용한 SiC 단섬유의 제조 방법
CN114981949A (zh) * 2020-12-24 2022-08-30 东华隆股份有限公司 静电吸盘及处理装置
KR20230005107A (ko) 2021-06-28 2023-01-09 주식회사 히타치하이테크 내벽 부재의 재생 방법
CN114015967A (zh) * 2021-11-09 2022-02-08 重庆臻宝实业有限公司 一种低孔隙率氧化钇涂层的制备方法
JP2024054628A (ja) * 2022-10-05 2024-04-17 日本発條株式会社 積層構造体および積層構造体の製造方法
CN117265452B (zh) * 2023-11-22 2024-02-06 北京理工大学 一种水冷铜坩埚热屏蔽复合涂层及其制备方法

Family Cites Families (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
JPS63450Y2 (zh) 1980-03-26 1988-01-07
US4357387A (en) * 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS59159510A (ja) 1983-03-01 1984-09-10 Canon Inc 磁気光学記録媒体
US4485151A (en) * 1982-05-06 1984-11-27 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Thermal barrier coating system
JPS59186325U (ja) 1983-05-30 1984-12-11 松下電工株式会社 採光窓
JPS60141551A (ja) 1983-12-29 1985-07-26 ダイセル化学工業株式会社 高吸収性シ−ト
JPS618018A (ja) * 1984-06-21 1986-01-14 早川 哲夫 長波長赤外線によるフライヤ−
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
JPS61207566A (ja) 1985-03-12 1986-09-13 Showa Denko Kk セラミツク溶射皮膜形成方法
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS6267161U (zh) 1985-10-15 1987-04-25
JPH0611346Y2 (ja) 1986-06-30 1994-03-23 不二サッシ株式会社 内開き内倒し窓における内外障子の開閉装置
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
JPH0423551Y2 (zh) 1987-09-04 1992-06-02
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
JPH01120328U (zh) 1988-02-08 1989-08-15
JPH0730468B2 (ja) 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
JPH0254780A (ja) * 1988-08-18 1990-02-23 Nkk Corp セラミックス被覆鋼板
JPH0657396B2 (ja) 1989-02-17 1994-08-03 レンゴー株式会社 ロータリシャーの制御方法及び装置
JPH02267967A (ja) 1989-04-07 1990-11-01 Fuji Electric Co Ltd 半導体素子の製造方法
DE69015715T2 (de) 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04238882A (ja) 1991-01-10 1992-08-26 Denki Kagaku Kogyo Kk 高温絶縁物品
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JPH05117064A (ja) 1991-04-09 1993-05-14 Tokyo Electric Power Co Inc:The ガスタービン用翼およびその製造方法
JPH05121360A (ja) 1991-04-22 1993-05-18 Tokyo Electron Yamanashi Kk 半導体処理装置
JPH0570922A (ja) * 1991-08-09 1993-03-23 Koichi Moriya 複合材の無機化合物による封孔処理法
JPH05198532A (ja) 1992-01-22 1993-08-06 Hitachi Chem Co Ltd プラズマエッチング装置用電極板
JPH05238859A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材
JPH05238855A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
CA2097222A1 (en) 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
KR940006221A (ko) 1992-06-05 1994-03-23 제임스 조셉 드롱 집적회로구성 공정처리장치
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
JPH06136505A (ja) 1992-10-26 1994-05-17 Sumitomo Metal Ind Ltd 溶射被覆構造
JPH06142822A (ja) 1992-11-09 1994-05-24 Kawasaki Steel Corp 高融点活性金属鋳造用鋳型の製造方法
JPH06196548A (ja) * 1992-12-24 1994-07-15 Sumitomo Metal Ind Ltd 静電チャック
US5725960A (en) 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06256926A (ja) 1993-03-08 1994-09-13 Mitsubishi Heavy Ind Ltd 遮熱コーティング膜
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3236398B2 (ja) 1993-04-02 2001-12-10 株式会社フジクラ 溶射装置
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
JPH0758013A (ja) 1993-08-10 1995-03-03 Hitachi Ltd 半導体成膜装置
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07126827A (ja) 1993-10-28 1995-05-16 Nippon Alum Co Ltd 金属表面の複合皮膜及びその形成方法
JP3228644B2 (ja) 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JPH07226378A (ja) 1994-02-10 1995-08-22 Sony Corp 成膜方法およびこれに用いるプラズマ装置
JP3061346B2 (ja) 1994-03-07 2000-07-10 東京エレクトロン株式会社 処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
EP0760526A4 (en) 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
JPH0841309A (ja) 1994-07-28 1996-02-13 Hoechst Japan Ltd ドライエッチング装置用ポリベンゾイミダゾール系樹脂製物品
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3473121B2 (ja) 1994-09-14 2003-12-02 ソニー株式会社 プラズマcvd装置およびプラズマcvd方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
DE69603627T2 (de) 1995-01-19 1999-12-30 Ube Industries Keramischer Verbundkörper
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
JP3420377B2 (ja) 1995-03-29 2003-06-23 京セラ株式会社 イットリウム−アルミニウム−ガーネット焼結体の製造方法
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) * 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JPH08339895A (ja) 1995-06-12 1996-12-24 Tokyo Electron Ltd プラズマ処理装置
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) * 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
JP2971369B2 (ja) 1995-08-31 1999-11-02 トーカロ株式会社 静電チャック部材およびその製造方法
JPH0975832A (ja) 1995-09-11 1997-03-25 Nittetsu Hard Kk 耐食耐摩耗性表面溶射層を有するボイラーチューブ
JPH09129634A (ja) * 1995-09-15 1997-05-16 Sharp Corp 半導体装置及び酸化イットリウムの堆積方法
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JP4226669B2 (ja) 1996-02-05 2009-02-18 株式会社東芝 耐熱部材
JP3035209B2 (ja) 1996-02-27 2000-04-24 三菱重工業株式会社 耐食性材料及びその製造方法
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
JPH09298190A (ja) 1996-05-02 1997-11-18 Iwaki Coating Kogyo:Kk ドライエッチング装置用電極の製造方法
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
JP3261044B2 (ja) 1996-07-31 2002-02-25 京セラ株式会社 プラズマプロセス装置用部材
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10130884A (ja) * 1996-10-25 1998-05-19 Nagayama Kogyosho:Kk 耐熱性陽極酸化皮膜の処理方法
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
JPH10214819A (ja) 1997-01-28 1998-08-11 Sumitomo Metal Ind Ltd プラズマエッチング用電極板
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JPH10226869A (ja) 1997-02-17 1998-08-25 Mitsui Eng & Shipbuild Co Ltd プラズマ溶射法
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JPH10277707A (ja) 1997-04-03 1998-10-20 Mishima Kosan Co Ltd 連続鋳造用鋳型に使用する鋳型片及びその製造方法
JPH111757A (ja) 1997-04-14 1999-01-06 Toshiba Ceramics Co Ltd 非酸化性雰囲気焼成用治具
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) * 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3705898B2 (ja) * 1997-06-27 2005-10-12 三菱アルミニウム株式会社 真空機器の表面処理アルミニウム構成部品及びその製造方法
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11207161A (ja) 1998-01-22 1999-08-03 Konica Corp 固体処理剤溶解装置
JP3350433B2 (ja) 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
EP1068632B1 (en) 1998-03-31 2006-11-15 Lam Research Corporation Contamination controlling method and plasma processing chamber
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP3555442B2 (ja) 1998-04-24 2004-08-18 住友金属工業株式会社 プラズマ耐食性に優れたアルミナセラミックス材料およびその製造方法
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4136137B2 (ja) 1998-11-26 2008-08-20 東京エレクトロン株式会社 プラズマ処理装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6294261B1 (en) * 1999-10-01 2001-09-25 General Electric Company Method for smoothing the surface of a protective coating
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) * 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE20104654U1 (de) 2000-03-15 2001-09-13 Preising Paul Eric Reinigungsvorrichtung für hochspannungsführende Anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP2002029742A (ja) * 2000-07-21 2002-01-29 Daiichi Kigensokagaku Kogyo Co Ltd 希土類金属酸化物粉末及びその製造方法
JP2002088462A (ja) 2000-09-14 2002-03-27 Nippon Steel Corp 封孔処理方法及び封孔処理された溶射皮膜とその皮膜を施したファンまたはブロワー
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
WO2002048421A1 (fr) 2000-12-12 2002-06-20 Tokyo Electron Limited Procede de regeneration de contenant pour le traitement de plasma, element a l'interieur de ce contenant, procede de preparation de l'element a l'interieur de ce contenant, et appareil de traitement de plasma
KR100815038B1 (ko) * 2000-12-12 2008-03-18 코니카 미놀타 홀딩스 가부시키가이샤 박막 형성 방법, 박막을 갖는 물품, 광학 필름, 유전체피복 전극 및 플라즈마 방전 처리 장치
US20040081746A1 (en) 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
JP2002228803A (ja) 2001-01-30 2002-08-14 Konica Corp 低反射積層体の製造方法及び低反射積層体
EP1358660B1 (en) 2001-02-07 2008-08-13 Hitachi Metals, Ltd. Method of making material alloy for iron-based rare earth magnet
JP2002252209A (ja) * 2001-02-22 2002-09-06 Tokyo Electron Ltd プラズマエッチング装置
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
EP1296384B1 (en) * 2001-09-21 2008-09-03 FUJIFILM Corporation Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6894769B2 (en) 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
JP4532479B2 (ja) 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
JP2003321760A (ja) * 2003-05-19 2003-11-14 Tocalo Co Ltd プラズマ処理容器内部材およびその製造方法
US6853594B1 (en) * 2003-07-22 2005-02-08 Sun Microsystems, Inc. Double data rate (DDR) data strobe receiver
EP1780298A4 (en) 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Also Published As

Publication number Publication date
KR100772740B1 (ko) 2007-11-01
US20130255881A1 (en) 2013-10-03
US20040216667A1 (en) 2004-11-04
TWI335609B (zh) 2011-01-01
JP2009185391A (ja) 2009-08-20
JP4987911B2 (ja) 2012-08-01
TW200423195A (en) 2004-11-01
US7780786B2 (en) 2010-08-24
CN1516535A (zh) 2004-07-28
US8877002B2 (en) 2014-11-04
KR20040048343A (ko) 2004-06-09
US20100307687A1 (en) 2010-12-09
US8449715B2 (en) 2013-05-28

Similar Documents

Publication Publication Date Title
CN1249789C (zh) 等离子体处理容器内部件
CN1521805A (zh) 等离子体处理装置、环形部件和等离子体处理方法
JP4503270B2 (ja) プラズマ処理容器内部材
TWI683888B (zh) 塗佈有經氟退火膜之物品
JP2004260159A (ja) プラズマ処理装置、リング部材およびプラズマ処理方法
CN1792474A (zh) 陶瓷喷涂构件及其清洁方法、有关程序和存储介质
JP5693807B2 (ja) 基板処理装置用の部品及び皮膜形成方法
CN1772946A (zh) 陶瓷喷镀部件及其制造方法、执行该方法的程序、存储介质
CN1445820A (zh) 成膜方法及使用该方法制造的器件、和器件的制造方法
CN1129841A (zh) 电子零件及其制造方法
WO2018132789A1 (en) Articles coated with a fluoro-annealed film
CN1502120A (zh) 被处理体的处理方法及处理装置
CN1881555A (zh) 基板载置台、基板处理装置和基板载置台的制造方法
CN1292454C (zh) 等离子体处理方法以及设备
CN1518760A (zh) 氧化膜形成方法
CN1487561A (zh) 金属箔密封灯
CN1174278C (zh) 用于彩色显示器的电极板及其制造方法
KR20220123039A (ko) 마그네슘 플루오라이드 영역이 형성된 금속체
CN112713072B (zh) 等离子体处理腔室内部部件及其制造方法
KR102507838B1 (ko) 함불소 알콕실란을 포함하는 양극산화층 보호용 코팅 조성물
US20220013336A1 (en) Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
CN1738920A (zh) 等离子体处理装置用的部件、处理装置用的部件、等离子体处理装置、处理装置以及等离子体处理方法
KR20170043085A (ko) 그래눌 형태의 세라믹 커버링층 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060405

Termination date: 20151128