KR100276093B1 - 플라스마 에칭방법 - Google Patents

플라스마 에칭방법 Download PDF

Info

Publication number
KR100276093B1
KR100276093B1 KR1019930021693A KR930021693A KR100276093B1 KR 100276093 B1 KR100276093 B1 KR 100276093B1 KR 1019930021693 A KR1019930021693 A KR 1019930021693A KR 930021693 A KR930021693 A KR 930021693A KR 100276093 B1 KR100276093 B1 KR 100276093B1
Authority
KR
South Korea
Prior art keywords
gas
plasma
electrode
pores
shower
Prior art date
Application number
KR1019930021693A
Other languages
English (en)
Other versions
KR940010223A (ko
Inventor
가즈시 도미다
요시가즈 이또
모또히로 히라노
아끼라 노자와
히로미쓰 마쓰오
순이찌 이이무료
시게기 도자와
유다까 미우라
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
이노우에 쥰이찌
도꾜일렉트론야마나시 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사, 이노우에 쥰이찌, 도꾜일렉트론야마나시 가부시끼가이샤 filed Critical 히가시 데쓰로
Publication of KR940010223A publication Critical patent/KR940010223A/ko
Application granted granted Critical
Publication of KR100276093B1 publication Critical patent/KR100276093B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 장시간 사용해도 샤워전극(3)의 가스분출구멍에 폴리머가 부착하지 않는 플라스마 에칭시스템 및 플라스마 에칭방법을 제공하기 위한 것으로, 플라스마를 가두는 용기와, 이 용기내를 배기하는 수단과, 기판을 지지하는 척전극(61)파, 이 척전극(61)에 대향하는 다수의 세공(55)을 갖는 샤워전극(3)과, 이 샤워전극(3) 및 상기 척전극(61) 사이에 플라스마전압을 인가하는 전원과, 상기 샤워전극(3)의 세공(55)에 연통하고 세공(55)을 통해서 상기 용기내에 플라스마생성용가스를 공급하는 수단과, 상기 세공(55)을 통과하는 상기 가스가 질량유량으로 620㎏/㎡/시간 이상이 되도록 상기 가스공급수단을 억제하는 수단을 갖는다.

Description

플라스마 에칭방법
제1도는 본 발명의 실시예에 관련되는 플라스마 에칭시스템의 전체개요를 도시하는 단면블록도.
제2도는 샤워전극을 도시하는 평면도.
제3도는 샤워전극의 일부를 확대하여 도시하는 부분확대평면도.
제4도는 샤워전극의 세공(細孔)의 일부를 도시하는 종단면도.
제5도는 다른 샤워전극을 도시하는 평면도.
제6도는 다른 샤워전극의 일부를 확대하여 도시하는 부분확대평면도.
제7도는 본 발명의 효과를 도시하는 특성선도.
제8도는 고종횡비(高縱橫比) 에칭된 웨이퍼의 일부를 확대하여 도시하는 종단면도.
제9도는 상부전극유닛을 모식화하여 도시하는 단면모형도.
제10도는 가스유속분포를 도시하는 시뮬레이션 모형도.
제11도는 가스유속분포를 도시하는 시뮬레이션 모형도.
제12도는 가스유속분포를 도시하는 시뮬레이션 모형도.
제13도는 웨이퍼상에 있어서 가스속도를 도시하는 특성선도.
제14도는 웨이퍼상에 있어서 가스속도를 도시하는 특성선도.
제15도는 전극 및 웨이퍼 사이의 가스샤워를 모식적으로 도시하는 모형도.
제16도는 SiF4가스 농도분포를 도시하는 시뮬레이션 모형도.
제17도는 SiF4가스 농도분포를 도시하는 시뮬레이션 모형도.
제18도는 SiF4가스 농도분포를 도시하는 시뮬레이션 모형도.
제19도는 웨이퍼상에 있어서 CF4가스 농도를 도시하는 특성선도.
제20도는 웨이퍼상에 있어서 SiF4가스 농도를 도시하는 특성선도.
* 도면의 주요부분에 대한 부호의 설명
1 : 처리실 2 : 상부전극유닛
3 : 샤워전극 6 : 웨이퍼지지대
11 : 배기관 12 : 고주파전원
51,52 : 조절판 53 : 냉각판
54 : 음극판 55 : 세공
61 : 척전극 70 : 제어기
71a, 71b, 71c : 가스공급원 72a,72b,72c : 질량유량제어기
본 발명은 반도체웨이퍼 등의 기판을 플라스마 에칭하는 플라스마 에칭시스템 및 플라스마 에칭방법에 관한 겻으로, 특히 평행평판전극형(平行平板電極型) 부식시(etcher) 상부전극으로서 이용되는 샤워전극의 개량에 관한 것이다.
반도체 웨이퍼의 에칭처리용으로서 예를 들면 대면하는 한쌍의 전극을 갖는 평행평판전극형 플라스마 에칭장치가 있다. 평행평판전극형 에칭장치에서는 하부전극상에 웨이퍼를 올러놓고, 웨이퍼를 향해 상부전극 다수의 가스분출구멍에서 플라스마 생성용가스(예를 들면, 할로겐가스나 프레온가스 등)를 분출시킨다. 그리고 상부전극과 하부전극간에 고주파전압을 인가하여 가스를 플라스마화하고, 이 플라스마에 의해 웨이퍼를 에칭한다.
그렇지만 종래의 상부전극(샤워전극)은 단지 플라스마를 균일하게 발생시키는 것만을 주안점으로 하여 설계된 것이고, 웨이퍼를 가장 적절하게 에칭하기 위해 가스유속, 가스분출구멍의 피치, 가스분출구멍의 직경 등을 충분히 고려한 것이라고는 할 수 없다.
최근 반도체 디바이스의 패턴은 점점 미세화하는 경향에 있고, 이와 같은 초미세가공으로서 고종횡비의 이방성에칭이 이용된다. 그런데 고종횡비의 이방성에칭을 실현하려면 프로세스챔버의 내압을 낮게 할 필요가 있다. 이로 인해 처리가스가 플라스마 중합하고 C, O, F를 포함하는 폴리머가 상부전극의 가스분출구멍 둘레벽에 부착된다. 이와 같은 부착 폴리머는 플라스마 방전시간이 길어짐에 따라 점차 성장하고, 수십 ㎛의 두께를 갖는 이물(異物)로까지 발달한다. 마지막으로는 폴리머 덩어리는 상부전극에서 웨이퍼상으로 낙하하고, 이것이 오염되어 반도체 디바이스의 제품비율이 저하된다.
또한 이와 같은 고종횡비의 이방성에칭과 통상의 에칭에 있어서 같은 샤워전극을 공용할 수 없고, 각각의 용도에 맞춰 전용샤워전극을 준비해야 한다.
본 발명은 상기 과제를 해결하기 위해 이루어진 것으로, 장시간에 걸쳐 안정적으로 연속사용할 수 있는 플라스마 에칭시스템 및 플라스마 에칭방법을 제공하는데 있다.
본 발명에 관한 플라스마 에칭시스템은 플라스마를 가두는 용기와, 이 용기내를 배기하는 수단과, 기판을 지지하는 척전극과, 이 척전극에 대향하는 다수의 세공을 갖는 샤워전극과, 이 샤워전극 및 상기 척전극 사이에 플라스마전압을 인가하는 전원과, 상기 샤워전극의 세공에 연통(連通)하고, 세공을 통해서 상기 용기내에 플라스마생성용가스를 공급하는 수단과, 상기 세공을 통과하는 상기 가스가 질량유량으로 620㎏/㎡/시간 이상이 되도록 상기 가스공급수단을 제어하는 수단을 갖는 것을 특징으로 한다.
또한 본 발명에 관한 플라스마 에칭방법은 기판을 용기내의 척전극으로 지지하고, 용기내가 감압상태가 되도록 용기내를 배기하고, 샤워전극의 세공을 통과할 때에 질량유량으로 620㎏/㎡/시간 이상이 되도록 플라스마생성용가스를 용기내에 도입하고, 샤워전극 및 척전극 사이에 전압을 인가하고, 양전극간에 플라스마를 생성하고, 생성플라스마를 기판에 작용시키는 것을 특징으로 한다.
본 발명의 플라스마 에칭시스템에서는 가스공급수단을 제어하여 샤워전극의 세공을 통과할 때 가스의 유속을 고속(高速)으로 한다. 특히 세공의 피치를 6m이상 및/ 또는 세공의 직경을 0.8mm 미만으로 하면 질량유량으로 620㎏/㎡/시간 이상의 가스를 샤워 전극에 공급하기가 쉬워진다. 여기서「질량유량(mass flow rate)」이란 관로를 통해 단위시간에 흐르는 유체의 질량을 말한다.
세공내에 있어서 플라스마 중합반응은 가스유속의 영향을 크게 받는다. 세공의 피치가 좁은 경우나 세공의 직경이 큰 경우는 가스유속이 늦어지므로, 세공내의 약전(弱電) 플라스마가 중합하고, 폴리머가 세공의 주변벽에 용착된다. 거기서 질량유량으로 620kg/㎡/시간 이상의 가스를 샤워전극에 공급한다. 이와 같은 공급시스템에서는 세공에 있어서 플라스마 중합반응이 억제되고, 폴리머가 세공의 주변벽에 부착하기 어렵게 된다. 만일 폴리머가 생성되었더라도, 고유속의 가스흐름에 의해 폴리머는 분출되고, 큰 덩어리로 되기까지 성장발달하지 않게 된다.
이하 첨부의 도면을 참조하면서 본 발명의 여러가지 실시예에 대해서 설명한다.
제1도는 본 발명의 실시예에 관한 플라스마 에칭시스템을 도시하는 전체개요도이다. 처리실(1)은 알루미늄벽으로 구성되고, 내부는 기밀(氣密)로 지지되어 있다. 처리실(1)의 상부에는 전극유닛(2)이 설치되어 있다. 전극유닛(2)은 승강실린더(21)의 로드에 의해 상하운동 가능하게 지지되어 있다.
전극유닛(2)에는 실드링(25)이 외장되고, 실드링(25)내에는 냉각블록(23)이 수납되어 있다. 실드링(25)은 절연체로 형성되어 있다. 냉각블록(23)에는 내부통로(42)가 형성되어 있고, 냉매공급원(41)에서 내부통로(42)에 냉매가 유통되도록 구성되어 있다.
그리고 냉각블록(23)의 오목부분 내에는 조절판(51,52)이 설치되어 있다. 냉각블록(23)의 하단부에는 샤워전극(3)이 나사(58)로 붙이고 떼기 가능하게 설치되어 있다. 샤워전극(3)의 주변가장자리부는 절연링(24)에 의해 냉각블록(23) 쪽으로 눌러져 있다.
샤워전극(3)은 음극판(54) 및 냉각판(53)을 조합시켜 구성되고, 판(53,54)은 서로 접착되어 있다. 음극판(54)은 무정형탄소제이고, 냉각판(53)은 알루미늄제 또는 알루미늄 합금제이다. 샤워전극(3)은 주파수 400KHz, 전력값 1300W의 고주파전원(12)에 전기적으로 접속되고, 한편 척전극(61)은 어스되어 있다. 이것에 의해 샤워전극(3)과 하방의 척전극(61)에서 플라스마 생성회로가 형성된다.
제2조절판(52)은 샤워전극(3)의 바로 위에 위치하고, 제1조절판(51)은 제2조절판(52)의 바로 위에 위치하고, 관(26)의 가스공급구는 제1조절판(51)의 바로 위에 위치하고 있다. 샤워전극(3), 제1 및 제2조절판(51,52)은 실질적으로 수평하게, 또 서로 평행하게 설치되어 있다. 제1조절판(51) 및 냉각블록(23)에 의해 가스도입실(22)이 형성되어 있다. 제1 및 제2조절판(51,52) 및 샤워전극(3)에는 통기구멍(51d,52a,55)이 각각 형성되어 있다. 통기구멍(51a,52A,55)의 직경은 이 순서대로 크다. 샤워전극(3)의 음극판(54) 배면에는 냉각판(53)이 밀착되어 있고, 이 냉각판(53)이 약 20℃로 유지되는 것에 의해 음극판(54)이 냉각되도록 구성되어 있다. 그리고 냉각블록(23), 제1 및 제2조절판(51,52)은 알루미늄제 또는 알루미늄 합금제이다.
가스도입실(22)의 상부중앙에는 가스공급판(26)의 한쪽열림구가 연통되어 있다. 가스공급관(26)의 기단측은 3개로 분기하고, 각 분기판은 질량유량제어기(MFC)(72a,72b,72c)를 각각 경유하여 가스공급원(71a,71b,71c)에 각각 연통하고 있다. 가스공급원(71a)에는 Ar가스가 수용되고, 가스공급원(71b)에는 CF4가스가 수용되고, 가스공급원(71c)에는 CHF3가스가 수용되어 있다. 각 가스공급원(71a,71b,71c)은 압력조정밸브를 구비하고 있고, 압력조정밸브의 가동부전원은 제어기(70)의 출력부에 접속되어 있다. 또한 MFC(72a,72b,72c)의 가동부전원도 제어기(70)의 출력부에 접속되어 있다. MFC(72a,72b,72c)는 제어기(70)에 의해 가스공급원(71a,71b,71c)과는 별개독립으로 제어되도록 구성되어 있다.
즉 각 가스공급원(71a,71b,71c)은 압력일정제어가 이루어지지만 각 HFC(72a,72b,72c) 에 있어서는 유량일정제어가 이루어진다.
처리실(1)의 하부에는 척전극(61)을 갖는 웨이퍼지지대(6)가 설치되고, 웨이퍼(V)가 척전극(61)의 위에 놓여지도록 구성되어 있다. 척전극(61)은 외부의 직류전원(E)에서 직류전력이 공급되는 정전(靜電)척(64)을 내장하고 있다. 척전극(61)은 알루미늄계 또는 알루미늄 합금제이다.
그리고 샤워전극(3)은 척전극(61)과의 상호간격이 약 1㎝가 되는 높이 위치에 배치되어 있다. 척전극(61)의 하면은 냉각블록(63)과 밀착하고 있다. 냉각블록(63)은 내부통로(62)를 갖고, 내부통로(62)로 냉매 공급원(도시하지 않음)에서 냉매가 공급되도록 구성되어 있다.
처리실(1)의 하방측벽부에는 배기관(11)이 접속되어 있다. 배기관(11)은 진공펌프(83)의 흡인구에 연통되어 있다. 배기관(11)의 도중에는 밸브(82) 및 압력센서(84)가 설치되어 있다. 압력센서(84)는 제어기(70)의 입력부에 접속되고, 검출압력에 의거하여 밸브(82) 및 진공펌프(83)양자가 동작제어되도록 구성되어 있다.
제2도에 도시하는 바와 같이 샤워전극(3)의 음극판(54)은 두께 4mm의 원판으로 구성되고, 냉각판(53)에서 백업된 엉역에는 다수의 세공(55)이 형성되어 있다. 제3도에 도시하는 바와 같이 세공(55)은 등피치간격(P)을 갖고 격자형으로 배열되어 있다. 세공(55)은 직경(d)이 0.6m이고, 피치간격(P)이 7mm이다. 8인치 웨이퍼용의 음극판(54)은 직경이 285mm이고, 이 경우에 세공(55)이 존재하는 가스분출영역의 직경을 180mm 이상으로 하는 것이 바람직하다. 또한 6인치 웨이퍼용의 음극판(54)은 직경이 200mm이고, 이 경우에 세공(55)이 존재하는 가스분출영역의 직경을 120mm 이상으로 하는 것이 바람직하다. 그리고 제5도 및 제6도에 도시하는 바와 같이 샤워전극(3)을 등피치간격(P)으로 방사상으로 배열해도 된다.
제4도에 도시하는 바와 같이 음극판측의 세공(55b)은 냉각측판의 세공(55a)보다 직경이 작다. 이로 인해 세공(55a,55b)을 통과하는 가스 유속이 작으면 단차(56) 부분에 폴리머가 용착하고, 가스가 음극판측의 세공(55b)에 흐르기 어려워질 우려가 있다.
다음에 실리콘웨이퍼(W)를 플라스마 에칭하는 경우에 대해서 설명한다.
웨이퍼(W)를 처리실(1)에 반입하고, 척전극(61)상에 흡착지지한다.
Ar 가스, CF4가스, CHF3가스를 샤워전극(3)을 통해서 처리실(1)내에 도입함과 동시에 처리실(1)내를 배기하여 내압을 O.5Torr 이하의 압력으로 한다. 다음에 음극판(54)과 척전극(61) 사이에 400KHz, 동력값 1300W의 고주파전원을 인가한다. 이것에 의해 방전플라스마를 발생시키고, 가스플라스마가 웨이퍼(W)에 반응하고, 그 결과 웨이퍼 표면이 에칭된다.
그때 종래와 같이 가스유속이 100Km/시간 이하로 늦으면 세공(55)안에서 처리가스가 플라스마 중합하고, 폴리머로서 세공(55)의 주변벽에 부착한다. 이것에 대해서 본 실시예에서는 세공(55)을 통과하는 가스의 유속을 10OKm/시간 이상으로 하기 위해 질량유량으로 620kg/㎡/시간 이상이 되도록 가스를 세공(55)에 공급한다.
제7도는 횡축에 플라스마 방전시간을 두고, 종축에 1장의 웨이퍼에 부착한 방전이물(폴리머)의 개수를 두어 웨이퍼 오염에 대해서 조사한 결과를 도시하는 특성도이다. 웨이퍼부착폴리머의 개수가 45개를 초과하면 불합격으로 했다. 도면에서 밝힌 바와 같이 본 실시예에 의하면 웨이퍼부착폴리머의 개수는 적고, 합격으로 판정했다.
또한 이와 같이 가스유속을 고속으로 하면 플라스마가 균일하게 발생하고, 방전안전영역이 O.15Torr에서 3.0Torr까지 확대된다. 그 결과 종횡비가 높은 에칭에서 낮은 에칭까지 실현가능하게 된다.
제8도는 종횡비의 에칭된 웨이퍼의 단면도이다. 웨이퍼 중앙부에 있어서도 주변가장자리부에 있어서도 균일하게 에칭되어 있다.
다음에 웨이퍼상의 가스속도분포에 대해서 컴퓨터 시뮬레이션을 이용하여 검토해 본다. 여기서는 문제를 간단히 하기 위해 제9도 및 제15도에 도시하는 바와 같이 가스는 샤워헤드 전면에서 균일하게 공급되는 것으로 가정한다. 아래의 조건으로 시뮬레이션했다.
[시뮬레이션의 조건]
모형형상
프로세스 조건
샤워직경(전극에 있어서 가스분출영역의 직경)을 160mm, 180mm, 210mm로 여러가지 변화시켰을 때의 반응용기내의 가스유동상태를 컴퓨터 시뮬레이션에 의해 구하고, 웨이퍼 근방의 속도분포와 에칭특성의 관계를 고찰한다. 여기서는 문제를 단순화하기 위해 원료가스의 소비와 부생성가스의 발생에 의한 유동상태의 변화는 무시한다.
제10도 내지 제12도는 샤워직경을 160mm, 180nm, 210mm로 여러가지 변화하여 가스유동상태에 대해서 각각 조사한 결과를 도시하는 가스유속분포도이다. 이 경우에 8인치직경 실리콘웨이퍼에 대해서 세공직경 0.6mn의 샤워전극을 이용하여 조사했다. 이들 도면에서 밝힌 바와 같이 조절판(51)에서 샤워헤드(3)상에 도달하는 사이에 가스는 수평방향으로 분산하는데, 가스유속의 분산은 크다. 이것은 조절판(51,52)은 가스를 분산시키는 기능은 갖지만, 유속을 조정하여 균일화하는 기능은 갖지 않음을 의미하고 있다. 한편, 샤워헤드(3)와 웨이퍼(W) 사이에서는 중앙부에서 주변가장자리부를 향해 거의 일정하게 가스유속이 증가하고 있다. 이것은 샤워헤드(3)가 가스유속을 균일화하는 기능을 갖는 것을 의미하고 있다.
그리고 제9도에 도시하는 최상부의 조절판(50)은 도입가스의 압력을 조정하기 위한 부개이다. 도면중에 거리(L1)는 16mm, 거리(L2)는 16.5mm, 거리(L3)는 24mm(=20mm+4mm, 거리(L4)는 10mm, 직경(r0)은 110.5mm, 직경(r1)은 160mm(또는 180mm 또는 210mm)이다.
제10도에서 밝힌 바와 같이 샤워직경이 160mm인 경우는 웨이퍼 중앙부에서 주변가장자리부를 향해 급속히 가스유속이 커진다. 또한 가스 유속의 최대값도 3개 중에서 가장 크다. 이것에 대해서 제11도 및 제12도에서 밝힌 바와 같이 샤워직경이 180mm 및 210mm인 경우는 웨이퍼 중앙부에서 주변가장자리부를 향해 가스유속은 거의 일정하고 변동이 적다.
다음에 제13도 및 제14도를 참조하여 가스속도의 분포특성에 대해서 설명한다.
제13도는 횡축에 웨이퍼 중심으로부터의 거리(r(mm))를 두고, 종축에 가스속도(v(m/초))를 두고, 세공직경 0.6mm의 샤워 전극에 대해서 조사한 결과를 도시한다. 제14도는 횡축에 웨이퍼 중심으로부터의 거리(r(mm)) 를 두고, 종축에 가스속도(v(m/초))를 두고, 세공직경 0.8Imm의 샤워전극에 대해서 조사한 결과를 도시한다. 도면중 검은색 동그라미는 샤워전극이 160mm의 결과를, 흰색 동그라미는 샤워전극이 180mm의 결과를, 검은색 삼각은 샤워직경이 210mm의 결과를 각각 도시한다.
양 도면에서 밝힌 바와 같이 웨이퍼상의 가스속도분포는 세공직경(d)에 의존하지 않는다. 또한 가스속도는 웨이퍼 중심으로부터 직선적으로 증대하고, 웨이퍼 주변가장자리부에서 최대가 된다. 웨이퍼직경이 160mm인 경우는 거리(r)가 80mm의 위치에서 가스속도의 최대값 1.32m/초를 얻을 수 있다. 샤워직경이 180mm인 경우는 거리(r)가 95mm의 위치에서 가스속도의 최대값 1.10m/초를 얻을 수 있다. 샤워직경이 210mm인 경우는 거리(r)가 105mm의 위치에서 가스속도의 최대값 0.98mm초를 얻을 수 있다.
다음에 웨이퍼상에 있어서 가스속도의 분포에 대해서 검토해 본다.
제13도 및 제14도에 도시한 바와 같이 웨이퍼반경방향의 가스속도는 웨이퍼 주변가장자리부의 근방에서 최대값이 되는 분포였다. 이 이유에 대해서 고찰한다.
여기서는 문제를 단순화하기 위해 제15도에 도시하는 모형에 있어서 가스는 샤워헤드전면에서 균일하게 공급되는 것으로 한다. 거리(r)(웨이퍼 중심에서의 거리)가 샤워가스분사영역의 반직경(r0)보다 작은 경우(r ≤r0)와, 거리(r)가 직경(r0)보다 큰 경우(r > r0)로 나누어 각각 설명한다.
(a) r ≤r0의 경우
이 영역(샤워가스가 분사되는 영역)에서는 샤워헤드 단위면적당 가스유량(f)은 아래식 (1)에서 주어진다. 단, r0는 샤워전극에 있어서 가스분사영역의 직경을 나타내고, v0는 도입가스유량을 나타낸다.
거리(r)의 위치에 있어서 가스유량(F)은 아래식(2)에서 주어진다.
유량(F)의 가스가 통과하는 유로의 단면적(S)은 아래식(3)에서 주어진다. 단, L5는 가스분출구에서 웨이퍼 상면까지의 거리이다.
따라서 가스속도(V)는 아래식(4)에서 주어진다.
이것에서 밝힌 바와 같이 가스속도(v)는 거리(r)에 비례하여 커진다.
또한 가스속도(v)는 r0 2에 반비례하므로, 샤워 반직경(r0)이 작아질수록 가스속도는 대폭 커진다.
(b) r > r0의 경우
이 영역(샤워가스의 분사영역을 벗어난 영역)에서는 중심에서 반경(r0)의 범위내에만 가스가 공급되므로, 가스유량(F)은 아래식(5)에서 나타내는 바와 같이 V0와 같게된다.
그래서 가스속도(v)는 아래식(6)에 의해 구해진다.
이것에서 밝힌 바와 같이 가스속도(v)는 반경(r)이 커질수록 작아지고, 또한 r0에 의존하지 않는다.
표 1에 가스속도와 부식비율의 관계에 대해 실험으로 조사한 결과를 도시한다. 그리고 각 가스속도 및 부식비율은 웨이퍼 중심에서 90mm 떨어진 곳에서 측정했다. 이 표에서 밝힌 바와 같이 샤워직경(2r0)이 커질수록 가스속도가 작아질수록 부식비율은 저하한다. 이것은 가스속도가 작을수록 에칭반응에 의해 생긴 부생성가스가 배출되기 어렵고, 웨이퍼 표면 근방에 체류하는 것에 기인하고 있다.
[표 1]
표 2 및 표 3에 가스농도와 접촉구멍 형상의 관계에 대해 실험으로 조사한 결과를 각각 나타낸다. 표 2는 웨이퍼 중심에서 10mm 떨어진 곳에서 측정한 결과를 나타내고, 표 3은 웨이퍼 중심에서 90mm 떨어진 곳에서 측정한 결과를 나타낸다. 여기서 「테이퍼 각도」란 제8도에 도시하는 접촉구멍(94) 둘레벽의 경사각도를 말한다.
어느 위치에 있어서도 샤워직경(2r0)이 커질수록, 가스속도가 작아질수록 테이퍼각도가 작아진다. 이것은 가스속도가 작은만큼 에칭반응에 의해 생긴 부생성가스가 배출되기 어렵고, 웨이퍼 표면근방에 쳬류하는 것에 기인하고 있다.
[표 2]
[표 3]
다음에 에칭반응에 대해 컴퓨터 시뮬레이션을 이용하여 검토한 결과에 대해서 설명한다.
제16도 내지 제18도 각각은 반응 시뮬레이션에 의해 모형화한 플라스마생성영역에 있어서의 SiF4가스농도분포를 도시하는 시뮬레이션 모형도이다. 도면중 웨이퍼단부는 부호(WE) 부분에 위치하고, 웨이퍼 위쪽에서 가스가 공급되고, 오른쪽으로 배기되어 있다.
플라스마생성영영에서는 아래식(7)에 나타내는 반응이 진행하고, 부생성가스로서 SiF4가 생긴다.
웨이퍼 근방에서는 원료가스 뿐만아니라 부생성가스도 반응에 관여하고 있다. 부식비율은 CF4가스 농도 및 SiF4가스농도에 지배된다.
한편, 접촉구멍형상은 CHF3가스 농도 및 SiF4가스농도에 지배된다.
따라서 원료가스인 CF4및 CHF3뿐만아니라 부생성가스인 SiF4의 농도분포를 알 필요가 있다.
제19도 및 제20도에 각각 도시하는 바와 같이 웨이퍼상의 원료가스 및 부생성가스의 농도는 웨이퍼 중앙부근에서는 균일하고, 웨이퍼 가장자리 부근에서 변화하는 가스농도분포가 되었다.
표 4에 샤워직경(2r0)과 CF4농도분포의 관계에 대해서 조사한 결과를 나타낸다. 표중에서 괄호안에 쓴 %수치는, 가스공급측에 있어서 초기농도값 4.5454×10-2(mol/mol)에 대한 웨이퍼상의 CF4농도의 저하율을 나타낸 것이다. 그리고 가스농도는 웨이퍼상의 위치에서 조사했다.
샤워직경(2r0)이 160mm인 경우는, 웨이퍼상의 농도와 초기농도의 차는 3.6%이다. 또한 웨이퍼 면내에 있어서의 CF4농도차는 1%에 지나지 않는다.
샤워직경(2r0)이 180mm인 경우는, 웨이퍼상의 농도와 초기농도의 차는 3.6%이다. 또한 웨이퍼 면내에 있어서의 CF4농도차는 불과 O.1%에 지나지 않는다.
샤워직경(2r0)이 210mm인 경우는, 웨이퍼상의 농도와 초기농도의 차는 4.6%이다. 이 농도차는 앞의 2가지 경우에 비해 크고, 무시할 수 없는 수치이다. 한편, 웨이퍼 면내에 있어서의 CF4농도차는 불과 O.2%에 지나지 않는다.
샤워직경 160mm(가스공급속도 10.6m/초)인 경우가 가스농도는 최대가 되고, 샤워직경 210mm(가스공급속도 5.70m/초)인 경우가 가스농도는 최소가 된다. 그러나 2가지 경우의 농도차는 1.5%에 지나지 않는다.
또한 웨이퍼 면내에 있어서의 CF4농도차도 1% 미만에 지나지 않는다.
따라서, 샤워 직경의 차이에 의한 웨이퍼 면상의 CF4가스(원료가스) 농도의 차는 작다고 할 수 있다.
[표 4]
표 5에 샤워직경 2r0과 SiF4농도분포의 관계에 대해서 조사한 결과를 나타낸다. 그리고 가스농도는 웨이퍼상의 위치에서 조사했다.
샤워직경(2r0)이 160mm인 경우는, 웨이퍼 중심부보다 주변가장자리부쪽이 SiF4농도가 높다. 웨이퍼중심부와 중간부의 SiF4농도차가 1%인 것에 대해, 웨이퍼중심부와 주변가장자리부의 농도차는 36%에 미친다. 웨이퍼 면내에 있어서 SiF4농도차는 특히 크다고 할 수 있다.
샤워직경(2r0)이 180mm인 경우는, 웨이퍼중심부와 중간부의 농도차는 1%이고, 웨이퍼 중심부와 주변가장자리부의 농도차는 3%이다. 웨이퍼면내에 있어서 SiF4농도차는 작다고 할 수 있다.
샤워직경(2r0)이 210mm인 경우는, 웨이퍼중심부와 중간부의 농도차는 1%이고, 웨이퍼중심부와 주변가장자리부의 농도차는 3.2%이다. 웨이퍼 면내에 있어서의 SiF4농도차는 작다고 할 수 있다.
160mm의 샤워직경(2r0)의 경우와 210mm의 샤워직경(2r0)의 경우를 비교해보면, 웨이퍼중심부에서 후자쪽이 전자쪽보다 약 40%나 높다. 또한 웨이퍼 면내 농도차에 대해서는 전자쪽이 후자쪽보다 대폭 크다.
[표 5]
이와 같은 지견에 의거하여 SiF4가스 농도분포에 대해서 고찰해 본다. 여기서도 문제를 간단히 하기 위해 제15도에 도시하는 바와 같이 가스는 샤워헤드전면에서 균일하게 공급되는 것으로 가정한다. 웨이퍼 단위면적당 SiF4발생량을 b라고 하면 웨이퍼 증심에서 거리(r)만큼 떨어진 곳에서의 SiF4총량(B)은 아래식(8)에서 주어진다.
이하, 샤워 전극에 있어서 가스분사영역의 반경(샤워반경)(r0) 및 웨이퍼반경(r8)과, 웨이퍼중심에서의 임의거리(r)의 대소에 의한 경우로 나누어 설명한다.
(c) r ≤r0의 경우
이 영역(샤워가스의 분사영역)에서는 SiF4의 농도(C)는 아래식(9)에서 주어진다. 그리고 원료가스유량(F)은 아래식(2)에서 주어진다.
이 영역내에서는 농도(C)는 반직경(r0)이 커질수록 높아진다.
(d) r0≤r ≤r8의 경우
이 영역내에서는 원료가스유량(F)은 상기식(5)에서 주어진다. 한편, 샤워가스가 웨이퍼를 향해 분사되는 영역이므로 SiF4는 생성된다. 따라서 SiF4의 농도(C)는 아래식(1O)에서 주어진다.
이 영역내에서는 농도(C)는 반경(r0)에 의존하지 않고, 반경(r)이 커질수록 높아진다.
(e) r8≤r의 경우
이 영역(웨이퍼에서 멀리 떨어진 영역)에서는 SiF4총량(B)은 아래식(11)에서 주어진다.
따라서 SiF4의 농도(C)는 아래식(12)에서 주어진다. 그리고 원료가스총량(F)은 상기식(2)에서 주어진다.
이 영역에서는 농도(C)는 반경(r0)이 커질수록 높아지고, 거리(r)는 커질수록 낮아진다.
표 6에 가스농도와 부식비율의 관계에 대해 실험으로 조사한 결과를 나타낸다. 그리고 각 가스농도 및 부식비율은 웨이퍼 중심에서 90mm 떨어진 곳에서 측정했다. 이 표에서 밝힌 바와 같이 샤워직경(2r0)이 210mm일 때의 부식비율은 2r0이 160mm일 때의 부식비율보다 5%(4770/5024 = O.95) 증가하고, 있다. 양자는 CF4몰분률(mol分率)이 거의 같으므로 SiF4몰분률의 차이가 부식비율의 차이로 되어 나타난 것이다. 이것으로 부식비율은 부생성가스인 SiF4의 농도에 강하게 의존함이 분명하다.
SiF4가 실리콘 웨이퍼 표면에 흡착한다고 가정했을 경우에 SiF4의 농도가 높은만큼 웨이퍼 표면으로의 흡착량이 증대하여 에칭반응이 저해된다. 이 경우의 반응속도식으로서 랑그뮈어의 흡착등온식을 채용하는 것이 바랍직하다. 또한 샤워 직경(2r0)이 커질수록 부식비율의 균일성이 향상된다.
[표 6]
표 7 및 표 8에 가스농도와 접촉구멍형상의 관계에 대해 실험으로 조사한 결과를 각각 나타낸다. 표 7은 웨이퍼 중심에서 10mm 떨어진 곳에서 측정한 결과를 나타내고, 표 8은 웨이퍼 중심에서 90mm 떨어진 곳에서 측정한 결과를 나타낸다. 여기서 「테이퍼 각도」란 표 8에 나타내는 접촉구멍(94) 둘레벽의 경사각도를 말한다.
어느 위치에 있어서도 샤워직경(2r0)이 커질수록, CF4농도가 낮아질수록 테이퍼각도는 작아진다. 한편 SiF4농도가 낮아질수록 테이퍼 각도는 커진다.
[표 7]
[표 8]
그리고 상기 실시예에서는 고주파인가방법은 플라스마 에칭(PE) 모드를 채용하고 있지만, 전력분할모드 또는 반응성 이온에칭(RIE)모드를 채용해도 된다.
본 발명의 플라스마 에칭시스템에 의하면 샤워전극의 가스분출구멍에 폴리머가 부착하지 않고, 장시간에 걸쳐 연속하여 안정적으로 사용할 수 있다. 이로 인해 웨이퍼가 생성폴리머에 의해 오염되지 않게 되고, 반도체 디바이스의 제품비율이 비약적으로 향상된다.
또한 샤워전극의 세공을 통과하는 가스의 유속을 고속으로 하므로, 고종횡비에서 저종횡비까지의 광범위 에칭을 실현할 수 있다.
그리고 샤워전극의 세공을 통과하는 가스의 유속을 고속으로 한 것에 의해 플라스마의 균일성이 좋아지고, 플라스마방전의 안정영역이 O.15∼3.0Torr까지 확대되고, 고종횡비(종횡비 3까지)의 에칭도 가능하게 된다.

Claims (13)

  1. (정정) 기판을 공정용기 안에 설치된 척전극위에 올려놓는 단계; 공정용기안이 감압상태가 되도록 상기 공정용기를 배기시키는 단계; 공정가스가 샤워전극 내에 만들어진 세공들 내에 퇴적하지 않게 하기 위하여 공정가스가 최소한 620kg/㎡/hr 이상의 질량유량으로 상기 세공들을 통하여 유동하도록 공정가스를 공정용기 안으로 도입시키는 단계; 및 상기 샤워전극과 상기 척전극 사이에 전압을 인가하고, 양전극간에 플라스마를 생성하고, 상기 플라스마 내의 활성종들을 상기기판에 작용시키는 단계를 포함하는 것을 특징으로 하는 플라스마에칭방법.
  2. 제1항에 있어서, 상기 샤워전극은 플라스마를 생성하는 동안 냉각되는 것을 특징으로 하는 플라스마에칭방법.
  3. (정정) 제1항에 있어서, 상기 공정가스가 최소한 62Okg/㎡/hr 이상의 질량유량으로 샤워전극 내에 만들어진 세공들의 단차부(段差部)를 통하여 유동하도록 공정가스가 공정용기 안으로 도입되는 것을 특징으로 하는 플라스마에칭방법.
  4. (정정) 제1항에 있어서, 상기 공정가스는 C, O, H, F 및 Ar로 구성되는 그룹으로부터 선택되는 최소한 둘 이상의 원소를 함유하는 것을 특징으토 하는 플라스마에칭방법.
  5. (정정) 제4항에 있어서, 상기 공정가스는 CF4가스, CHF3가스, 및 Ar 가스로 구성되는 혼합 가스인 것을 특징으로 하는 플라스마에칭방법.
  6. (정정) 제1항에 있어서, 0.5Torr 보다 높지 않게 공정용기의 내부 압력을 설정하기 위하여 상기 공정용기가 배기되는 것을 특징으로 하는 플라스마에칭방법.
  7. (정정) 제1항에 있어서, 공정용기의 내부압력이 플라스마 생성중에 0.15Torr와 3.0Torr 사이의 범위내에 들도록 제어되는 것을 특징으로 하는 플라스마 에칭방법.
  8. 제1항에 있어서, 상기 공정가스는 세공을 통하여 유동하도록 공급되며, 상기 공정은 주위의 온도와 실질적으로 동일한 온도를 가지는 것을 특징으로하는 플라스마에칭방법.
  9. 제1항에 있어서, 세공들로부터의 공정가스의 분출영역은 상기 기판의 처리영역보다 큰 것을 특징으로 하는 플라스마에칭방법.
  10. 제1항에 있어서, 세공들로부터의 공정가스의 분출영역이 샤워전극의 중심으로부터 180mm까지의 범위에 미치는 것을 특징으로 하는 플라스마에칭방법.
  11. 제1항에 있어서, 세공들로부터의 공정가스의 분출영역이 샤워전극의 중심으로부터 120mm와 180mm사이의 범위에 미치는 것을 특징으로 하는 플라스마에칭방법.
  12. 제1항에 있어서, 상기 세공들의 개방영역의 합은 100㎟과 120㎟ 사이의 범위내에 있는 것을 특징으로 하는 플라스마에칭방법.
  13. (정정) 플라스마를 가두는 용기, 상기 용기를 배기시키기 위한 수단, 기판을 지지하기 위한 척전극, 상기 척전극과 함께 플라스마 방전 회로를 형성하는 샤워전극, 상기 샤워전극과 상기 척전극 사이에 플라스마 전압을 인가하기 위한 전원, 용기에 플라스마-생성 가스를 공급하기 위한 가스공급수단, 그리고 상기 가스공급수단을 제어하기 위한 제어수단을 포함하는 에칭장치를 사용한 에칭방법으로서, 상기 샤워전극은: 상기 척전극에 의해 지지되는 기판에 대향하여 위치하는 음극판; 음극판을 냉각시키기 위하여 상기 음극판에 접합된 냉각판; 상기 가스공급수단으로부터 용기 안으로 공급되는 상기 가스를 안내하기 위하여 상기 냉각판 내에 만들어진 다수의 공급구들; 그리고 상기 공급구들과 통하기 위해 상기 음극판 내에 만들어져 상기 척전극에 대향하도록 위치한 다수의 세공들을 포함하며, 여기서, 공급구와 통하는 부위에 단차부를 형성하기 위하여 상기 세공의 지름은 상기 공급구 보다 작고, 상기 세공과 상기 공급구 사이에 형성된 상기 단차부를 통하여 지나가는 플라스마-생성 가스의 질량유량은 상기 가스성분이 상기 단차부내에 퇴적하지 않도록 하기 위하여 상기 제어수단에 의해 최소한 620kg/㎡/hr 이상으로 제어되는 것을 특징으로 하는 플라스마에칭방법.
KR1019930021693A 1992-10-19 1993-10-19 플라스마 에칭방법 KR100276093B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP92-306121 1992-10-19
JP30612192 1992-10-19

Publications (2)

Publication Number Publication Date
KR940010223A KR940010223A (ko) 1994-05-24
KR100276093B1 true KR100276093B1 (ko) 2000-12-15

Family

ID=17953308

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930021693A KR100276093B1 (ko) 1992-10-19 1993-10-19 플라스마 에칭방법

Country Status (2)

Country Link
US (2) US5423936A (ko)
KR (1) KR100276093B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013062831A2 (en) * 2011-10-27 2013-05-02 Applied Materials, Inc. Process chamber for etching low k and other dielectric films

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5883778A (en) * 1994-02-28 1999-03-16 Applied Materials, Inc. Electrostatic chuck with fluid flow regulator
US5567255A (en) * 1994-10-13 1996-10-22 Integrated Process Equipment Corp. Solid annular gas discharge electrode
KR100190178B1 (ko) * 1994-11-01 1999-06-01 모리시따 요오이찌 반도체 장치의 제조방법 및 반도체 장치의 제조장치
JP3799073B2 (ja) * 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5639334A (en) * 1995-03-07 1997-06-17 International Business Machines Corporation Uniform gas flow arrangements
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
EP0846190A1 (en) * 1995-06-13 1998-06-10 Massively Parallel Instruments, Inc. Improved parallel ion optics and apparatus for high current low energy ion beams
JP3768575B2 (ja) 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド Cvd装置及びチャンバ内のクリーニングの方法
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
KR100397860B1 (ko) * 1997-09-22 2003-12-18 카가쿠기쥬쯔죠 킨조쿠자이료 기쥬쯔켄큐죠 반응성이온에칭법및그장치
US6093655A (en) * 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
DE59900317D1 (de) * 1998-02-18 2001-11-22 Aixtron Ag Cvd-reaktor und dessen verwendung
JPH11274137A (ja) * 1998-03-18 1999-10-08 Kenichi Nanbu エッチング方法
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6277759B1 (en) 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
JP2000114245A (ja) 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
GB2347686B (en) 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
KR100302457B1 (ko) * 1999-04-06 2001-10-29 박호군 다이아몬드 막 증착방법
TW452635B (en) * 1999-05-21 2001-09-01 Silicon Valley Group Thermal Gas delivery metering tube and gas delivery metering device using the same
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6461962B1 (en) * 1999-09-01 2002-10-08 Tokyo Electron Limited Etching method
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
JP5165825B2 (ja) * 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
KR100545034B1 (ko) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100372251B1 (ko) * 2001-02-09 2003-02-15 삼성전자주식회사 반도체 설비용 가스 분배장치
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US20020187066A1 (en) * 2001-06-07 2002-12-12 Skion Corporation Apparatus and method using capillary discharge plasma shower for sterilizing and disinfecting articles
US7160671B2 (en) * 2001-06-27 2007-01-09 Lam Research Corporation Method for argon plasma induced ultraviolet light curing step for increasing silicon-containing photoresist selectivity
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
AU2002352262A1 (en) * 2001-06-29 2003-03-03 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
KR100452318B1 (ko) * 2002-01-17 2004-10-12 삼성전자주식회사 압력조절시스템 및 이를 이용하는 압력조절방법
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
CN100442429C (zh) 2002-05-23 2008-12-10 蓝姆研究公司 用于半导体处理等离子反应器的多部分电极以及替换多部分电极的一部分的方法
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6897128B2 (en) * 2002-11-20 2005-05-24 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US20040157430A1 (en) * 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
KR101172334B1 (ko) * 2003-12-26 2012-08-14 고에키자이단호진 고쿠사이카가쿠 신고우자이단 샤워 플레이트, 플라즈마 처리 장치, 및 제품의 제조방법
US20060081337A1 (en) * 2004-03-12 2006-04-20 Shinji Himori Capacitive coupling plasma processing apparatus
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
KR100723377B1 (ko) * 2005-09-29 2007-05-30 주식회사 래디언테크 상부 전극 어셈블리 및 이를 이용한 플라즈마 처리 장치
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8004293B2 (en) 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
US8074902B2 (en) * 2008-04-14 2011-12-13 Nordson Corporation Nozzle and method for dispensing random pattern of adhesive filaments
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
KR101641130B1 (ko) * 2008-10-09 2016-07-20 어플라이드 머티어리얼스, 인코포레이티드 대형 플라즈마 처리 챔버를 위한 rf 복귀 경로
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
EP2548991B1 (en) * 2011-07-18 2014-03-05 ESSILOR INTERNATIONAL (Compagnie Générale d'Optique) Machine for coating an optical article with an anti-soiling coating composition and method for using the machine
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
CN102751160B (zh) * 2012-07-13 2016-02-10 中微半导体设备(上海)有限公司 刻蚀装置及对应的刻蚀方法
KR20150012580A (ko) * 2013-07-25 2015-02-04 삼성디스플레이 주식회사 기상 증착 장치
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
JP6662998B2 (ja) * 2016-03-03 2020-03-11 コアテクノロジー株式会社 プラズマ処理装置
JP7066512B2 (ja) * 2018-05-11 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
JPS6039832A (ja) * 1983-08-12 1985-03-01 Fujitsu Ltd プラズマ処理装置
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
JPS61292920A (ja) * 1985-06-21 1986-12-23 Hitachi Micro Comput Eng Ltd プラズマ処理装置
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS63187619A (ja) * 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
JPH01149964A (ja) * 1987-12-04 1989-06-13 Furukawa Electric Co Ltd:The プラズマcvd装置用シャワー電極
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JPH0273624A (ja) * 1988-09-08 1990-03-13 Fujitsu Ltd Cvd用ガス導入装置
JPH02101740A (ja) * 1988-10-11 1990-04-13 Anelva Corp プラズマエッチング装置
JPH02114636A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp ウエハ処理用真空装置
JPH02122523A (ja) * 1988-11-01 1990-05-10 Matsushita Electric Ind Co Ltd ドライエッチング方法およびその装置
JPH02155230A (ja) * 1988-12-07 1990-06-14 Matsushita Electric Ind Co Ltd ドライエッチング装置
JPH02244624A (ja) * 1989-03-16 1990-09-28 Tokyo Electron Ltd プラズマ処理装置
JPH0437124A (ja) * 1990-06-01 1992-02-07 Matsushita Electric Ind Co Ltd プラズマ処理装置
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013062831A2 (en) * 2011-10-27 2013-05-02 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
WO2013062831A3 (en) * 2011-10-27 2013-07-11 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US10096496B2 (en) 2011-10-27 2018-10-09 Applied Materials, Inc. Process chamber for etching low K and other dielectric films
US10923367B2 (en) 2011-10-27 2021-02-16 Applied Materials, Inc. Process chamber for etching low K and other dielectric films
US11410860B2 (en) 2011-10-27 2022-08-09 Applied Materials, Inc. Process chamber for etching low k and other dielectric films

Also Published As

Publication number Publication date
US5423936A (en) 1995-06-13
US5593540A (en) 1997-01-14
KR940010223A (ko) 1994-05-24

Similar Documents

Publication Publication Date Title
KR100276093B1 (ko) 플라스마 에칭방법
US8066895B2 (en) Method to control uniformity using tri-zone showerhead
JP7176860B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
US4358686A (en) Plasma reaction device
US8097120B2 (en) Process tuning gas injection from the substrate edge
CN101908460B (zh) 等离子体处理装置、等离子体处理方法
JP2748886B2 (ja) プラズマ処理装置
US20110068082A1 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US20070215580A1 (en) Plasma processing apparatus and electrode used therein
KR20100105787A (ko) 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
KR20160009542A (ko) 플라즈마 에칭 장치 및 플라즈마 에칭 방법
JPH09232294A (ja) プロセスガスのフォーカシング装置及び方法
US20040065344A1 (en) Processing apparatus and cleaning method
KR20030051692A (ko) 플라즈마 처리챔버에서 단일 주파수 rf전력을 이용한웨이퍼 처리시스템, 장치 및, 방법
JP4433614B2 (ja) エッチング装置
JPH057861B2 (ko)
JPH088239A (ja) ウェーハ処理装置
JPH0359573B2 (ko)
US4352974A (en) Plasma etcher having isotropic subchamber with gas outlet for producing uniform etching
JPH11283940A (ja) プラズマ処理方法
US6620289B1 (en) Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
JP2851229B2 (ja) プラズマエッチングシステム及びプラズマエッチング方法
US10984987B2 (en) Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
JPH04236425A (ja) プラズマ処理装置
US20040261711A1 (en) Apparatus and system for, and method of supplying process gas in semiconductor device manufacturing equipment

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120907

Year of fee payment: 13

EXPY Expiration of term