TWI335609B - - Google Patents

Download PDF

Info

Publication number
TWI335609B
TWI335609B TW92133602A TW92133602A TWI335609B TW I335609 B TWI335609 B TW I335609B TW 92133602 A TW92133602 A TW 92133602A TW 92133602 A TW92133602 A TW 92133602A TW I335609 B TWI335609 B TW I335609B
Authority
TW
Taiwan
Prior art keywords
film
plasma processing
ceramic
processing vessel
group
Prior art date
Application number
TW92133602A
Other languages
English (en)
Other versions
TW200423195A (en
Inventor
Kouji Mitsuhashi
Hiroyuki Nakayama
Nobuyuki Nagayama
Tsuyoshi Moriya
Hiroshi Nagaike
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200423195A publication Critical patent/TW200423195A/zh
Application granted granted Critical
Publication of TWI335609B publication Critical patent/TWI335609B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/249969Of silicon-containing material [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/24997Of metal-containing material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/3154Of fluorinated addition polymer from unsaturated monomers
    • Y10T428/31544Addition polymer is perhalogenated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide

Description

1335609 玖、發明說明 【發明所屬之技術領域】 本發明係關於電漿處理容器內構件,尤其於含鹵素之 流程氣體之電漿環境所形成之電漿處理容器內所使用之例 如鍍層(deposit )、排氣葉片、對焦器、電極板、靜電吸 盤(electrostaticchuck)及處理容器內壁材料等之電獎容 器內構件。 【先前技術】 半導體及液晶元件等之製造流程中,雖然使用電漿之 電漿處理多被使用,於如此之電漿處理中,因爲處理容器 內使用以C4F8或NF3等之氟化物、BC13或SnCU等之氯 化物以及如Η B r之溴化物爲首之含有鹵素之氣體,而有 處理容器內構件明顯地蝕損耗.的問題。因此,對於如鍍層 、排氣葉片、對焦器、電極板、靜電吸盤及處理容器內壁 材料等之電漿容器內構件,要求耐電漿性。 對此,提出作爲如此之電漿處理容器內構件,A卜A1 合金、A1氧化物及石英等所形成之基材的表面上,形成 Ah〇3或Y203等之耐蝕性高之火焰噴塗被膜,提昇處理 容器內構件之耐電漿性能之技術(例如參考專利文獻1 ) 。另外’基材與火焰噴塗被膜間,亦可再形成陽極氧化被 膜。其次,爲提昇與火焰噴塗被膜之密合性,基材或陽極 氧化被膜的表面’依據噴射處理等企圖粗糙化,期待固定 功效,防止火焰噴塗被膜的剝落。 -5- 1335609 上述之電漿蝕刻處理裝置睁,因爲使用含有'腐蝕性高 的鹵元素之流程氣體,並加上爲除去附著於處理容器之反 應生成物,定期地實施以純水、含氟系溶劑或丙酮等之有 機溶劑等之洗淨液進行清潔,而使基材與火焰噴塗被膜之 間,或基材與陽極氧化被膜之間,滲入流程氣體或清潔用 之洗淨液,與該氣體或洗淨液反應,於基材表面上發生腐 蝕生成物,其結果係有火焰噴塗被膜剝離之問題。 II 亦即,如圖21之(a)所示,於電漿處理容器內構件 100中,於A1等基材101上之火焰噴塗被膜(頂層)102 • 之表面,雖堆積CF聚合物等之反應生成物103,此反應 •生成物係如圖21之(b)所示,浸漬於一定的洗淨液104 等,定期或不定期地除去。因此,如圖21之(c)所示, ' 於火焰噴塗被膜102之貫通氣孔、與火焰噴塗被膜102之 ' 邊界部份、或因電漿或氣體等之損傷部位,滲入流程氣體 、洗淨液或與反應生成物之反應液而到達基材1〇1之表面 ® 。因此,基材101之表面上生成腐蝕生成物,或使爲得到 固定功效用之於基材表面所形成之凹凸平滑化,因爲失去 固定功效,如圖21之(d)所示,於火焰噴塗被膜102上 ,發生由基材101剝離之部份105者。 另一方面,如上所述之Al2〇3或 Y203,因爲與空氣 中水份之反應性高,所以作爲電漿處理容器之內壁材料等 使用時,將處理容器之真空室開放於大氣時或將真空室濕 洗時,有大量取入水份的可能性。因此,依據如此地大量 取入水份時,流程中之真空室內成爲高溫,或電漿放電時 -6- 1335609 ,將造成由;真'空室內壁脫離水份,與室內壁或堆積物化學 反應而生成粒子(particle),抽成真空時間變長、異常 放電及成膜特性變差等之不良影響之大的缺點。 因此,於專利文獻2中揭示,抽真空時,生成電漿, 使該電漿接觸室內壁面,提昇該溫度,氣化所附著的水分 子’以短時間抽真空的方法。另外,專利文獻3中揭示, 於真空室之加蓋材料,設置加熱器,於電漿處理時控制加 熱器’經常保持真空室之內壁溫度於所定溫度以上,減低 真空室內壁所吸附的水分或有機物量,以及迅速地蒸發所 吸附的水份或有機物之技術。另外,專利文獻4及5中揭 示’於真空室內壁設置可裝脫之護罩,因附著之污染物所 吸附的水份等之影響,到達真空時間超過所定値時,指示 清潔交換護罩零件材料之技術。 然而’專利文獻2至5之技術均爲吸附水份後之對應 ,其功效係有限的,現階段仍未達成根本上的解決》 專利文獻1:特開平8- 339895號公報(第3頁,第 2圖) 專利文獻2:特開平8-3181117號公報 專利文獻3 :特開平1 1 — 5 44 84號公報 專利文獻4 :特開平1 1 — 54487號公報 專利文獻5 :特開2002 - 1 24503號公報 【發明內容】 發明之掲示 [1335609 發明所欲解決之課題 · ' 本發明係有鑑於如此的傳統技術上所有的課題而實施 者,其目的係提供可抑制形成作爲頂層之火焰噴塗被膜的 剝離之嶄新而且經過改良之電漿處理容器內構件。 另外,其他目的係提供於電漿處理時難以發生水份脫 離之電漿處理容器內構件。 •課題之解決手段 爲解決上述課題,本發明之第1個觀點係提供具有基 . 材與其表面上依據陶瓷之火焰噴塗所形成之被膜之電漿處 •理容器內構件,以構成上述被膜之陶瓷係包含至少1種選 自 B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce 及 Nd 所成 ' 群之元素,其至少一部份係依據樹脂所封孔處理爲特徵之 • 電漿處理容器內構件。 本發明之第2個觀點係提供具有基材與其表面上依據 ^ 陶瓷之火焰噴塗所形成之被膜之電漿處理容器內構件,以 上述之被膜係具有包含至少1種選自B '、Mg、Al、Si、Ca 、Cr、Y、Zr、Ta、Ce及Nd所成群之元素之陶瓷所形成 之第1陶瓷層,與包含至少1種選自B、Mg、Al、Si、Ca 、Cr、Y、Zr、Ta、Ce及Nd所成群之元素之陶瓷所形成 之第2陶瓷層,至少1層之至少一部份之上述第1及第2 之陶瓷層係依據樹脂所封孔處理爲特徵之電漿處理容器內 構件。 於上述之本發明之第1個及第2個觀點中,作爲上述 1335609
之樹脂係以選自 SI、PTFE、PI、PAI、PEI、PBI 及 PFA 所成群者爲宜。 本發明之第3個觀點係提供具有基材與其表面上依據 陶瓷之火焰噴塗所形成之被膜之電漿處理容器內構件’以 構成上述被膜之陶瓷係包含至少1種選自B、Mg、Al、Si 、Ca、Cr、Y、Zr、Ta、Ce及Nd所成群之元素,其至少 一部份係依據溶膠凝膠(sol-gel)方法所封孔處理爲特徵 之電漿處理容器內構件。 本發明之第4個觀點係提供具有基材與其表面上依據 陶瓷之火焰噴塗所形成之被膜之電漿處理容器內構件,以 上述之被膜係具有包含至少1種選自B、Mg、A卜Si、Ca 、(:r、Y、Zr、Ta、Ce及Nd所成群之元素之陶瓷所形成 之第1陶瓷層,與包含至少1種選自B、Mg、Al、Si、Ca 、Cr、Y、Zr、Ta、Ce及Nd所成群之元素之陶瓷所形成 之第2陶瓷層,至少1層之至少一部份之上述第1及第2 之陶瓷層係依據溶膠凝膠方法所封孔處理爲特徵之電漿處 理容器內構件。 於上述之本發明之第3個及第4個觀點中’上述之封 孔處理係使用選自屬於周期表第3a族元素者進行爲宜。 於上述之本發明之第1個至第4個觀點中,作爲上述 之陶瓷係可使用至少1種選自B4C、MgO、Al2〇3、SiC、 Si3N4、Si02、CaF2、Cr203、Y203、YF3、Zr〇2 ' Ta02、 Ce02、Ce203、CeF3 及 Nd203 所成群爲宜。 本發明之第5個觀點係提供具有基材與其表面上所形 -9- 1335609 成之被膜之電漿處理容器內構件·,上述之被膜係Μ有包含 依據陶瓷之火焰噴塗所形成之主層,與包含至少1種選自 Β、Mg、A1、Si、Ca、Cr、Υ、Zr、Ta、Ce 及 Nd 所成群 之元素之陶瓷所形成之遮蔽被覆(barrier coat)層爲特徵 之電漿處理容器內構件。 於上述之本發明之第5個觀點中,作爲上述之遮蔽被 覆層係可使用至少 1種選自 B4C、MgO、Al2〇3、SiC、 S13N4 ' Si〇2、CaF2 ' Cr2〇3、Y2O3、YF3、Zr〇2、Ta〇2、 Ce02、Ce203、CeF3及Nd203所成群之陶瓷爲宜。另外, 作爲上述之遮蔽被覆層,可使用至少其一部份爲樹脂所封 孔處理之火焰噴塗被膜,作爲上述樹脂係以選自 SI、 PTFE、PI、PAI、PEI、PBI及PFA所成群者爲宜。或是 ,作爲上述之遮蔽被覆層,可使用至少一部份係依據溶膠 凝膠方法所封孔處理之火焰噴塗被膜,上述之封孔處理係 以使用選自屬於周期表第3a族元素者而進行爲宜。 本發明之第6個觀點係提供具有基材與其表面上所形 成之被膜之電漿處理容器內構件,上述之被膜係具有包含 依據陶瓷之火焰噴塗所形成之主層,以及上述基材與上述 主層間所形成之工程塑料所形成之遮蔽被覆層爲特徵之電 漿處理容器內構件。 於上述之本發明之第6個觀點中,作爲上述之工程塑 料係可適合使用選自 PTFE、PI、PAI、PEI、PBI、PFA、 PPS及POM所成群之塑膠。 於上述之本發明之第5個及第6個觀點中,作爲上述 -10- 1335609 之主層係可.使'用至少1種選自·Β4(:、MgO、Al2〇3、Sic、 Si3N4 ' Si〇2、CaF2 ' Cr203 ' Y203 ' YF3、Zr02 ' Ta02 '
Ce02、Ce203、CeF3及Nd203所成群之陶瓷爲宜。 本發明之第7個觀點係提供具有基材與其表面上所形 成之被膜之電漿處理容器內構件,上述之被膜係具有包含 至少1種屬於周期表第3a族元素之陶瓷所形成,至少一 部份之該被膜係依據蒸氣或高溫水所水合處理爲特徵之電 漿處理容器內構件。 本發明之第8個觀點係提供具有基材與其表面上所形 成之被膜之電漿處理容器內構件,上述之被膜係具有包含 至少1種屬於周期表第3a族元素之陶瓷所形成之第1陶 瓷層,與包含至少1種屬於周期表第3a族元素之陶瓷所 形成之第2陶瓷層,至少1層之至少一部份之上述之第1 及第2之陶瓷層係依據蒸氣或高溫水所水合處理爲特徵之 電漿處理容器內構件。 於上述之本發明之第7個及第8個觀點中,作爲上述 之被膜係可使用依據火焰噴塗所形成之火焰噴塗被膜、或 以形成薄膜技術所形成之薄膜。另外,作爲構成上述被膜 之陶瓷係以選自Υ2〇3、Ce02、Ce203及Nd203者爲宜》 本發明之第9個観點係提供具有基材與其表面上所形 成之被膜之電漿處理容器內構件,上述之被膜係具有包含 至少1種屬於周期表第3a族元素之陶瓷所形成之第1陶 瓷層’與依據陶瓷之火焰噴塗所形成之第2陶瓷層,至少 一部份之上述之第1陶瓷層係依據蒸氣或高溫水所水合處 -11 - 1335609, 理爲特徵之電漿處理容器內構件.‘ 於本發明之第9個觀點中,作爲上述之第1陶瓷層係 可使用依據火焰噴塗所形成之火焰噴塗被膜、或以形成薄 膜技術所形成之薄膜。另外,作爲構成上述之第1陶瓷層 之陶瓷係以選自 Y203、Ce02、Ce203及Nd203者爲宜。 另外,作爲構成上述之第2陶瓷層係以至少1種選自B4C 、MgO、Al2〇3、SiC、Si3N4、Si02、CaF2、Cr203、Υ203 • 、YF3、Zr02、Ta02、Ce02、Ce203、CeF3 及 Nd203 所成 群爲宜。 本發明之第10個觀點係提供具有基材與其表面上所 形成之被膜之電漿處理容器內構件,上述之被膜係具有包 含至少1種屬於周期表第3a族元素之氫氧化物所形成之 ' 氫氧化物層爲特徵之電漿處理容器內構件。 ' 於本發明之第10個觀點中,作爲上述之氫氧化物層 係可使用依據火焰噴塗所形成之火焰噴塗被膜、或以形成 ® 薄膜技術所形成之薄膜。另外,作爲構成上述之氫氧化物 層之氫氧化物係以選自 Y ( OH ) 3、Ce ( OH ) 3及Nd ( OH) 3者爲宜。另外,至少一部份之上述之氫氧化物層係 經封孔處理爲宜。 於本發明之第1個至第1〇個觀點中,上述之基材與 上述之被膜間,可具有陽極氧化被膜,此時,上述之陽極 氧化被膜係依據金屬鹽水溶液而封孔處理爲宜。 本發明之第11個觀點係提供包含至少1種屬於周期 表第3a族元素之陶瓷之燒結體所形成,其至少一部份係 -12- 1335609 依據蒸氣或®溫水所水合處理爲特徵之電漿處理容器內構 件。此時,上述之陶瓷燒結體係以將選自 Y2〇3、Ce02、
Ce203及Nd203之陶瓷水合處理者爲宜。 本發明之第1 2個觀點係提供含有包含至少1種屬於 周期表第3a族元素之氫氧化物之陶瓷燒結體所形成爲特 徵之電漿處理容器內構件。此時,上述之陶瓷燒結體所含 之氫氧化物係以選自 Y ( OH ) 3、Ce ( OH ) 3及Nd ( OH )3者爲宜。 籲 發明之功效 依據本發明,具有基材與依據火焰噴塗所形成之被膜 之構造之電漿處理容器內構件中,因設置有作爲遮蔽而機 能之各種膜層,所以基材表面可不曝露於流程氣體或洗淨 液,可抑制依據火焰噴塗所形成被膜之剝離。 另外,依據本發明,因爲依據水化處理包含至少1種 屬於周期表第3a族元素之陶瓷,或依據構成包含至少1 種屬於周期表第3a族元素之氫氧化物層或燒結體,可形 成難以吸附水份,難以剝離之構造,所以可得到電漿處理 時之不易發生水份脫離之電漿處理容器內構件。 【實施方式】 用以實施發明之最佳型態 以下係詳細地說明本發明之實施型態 圖1係表示爲本發明對象之具有電漿處理容器內構件 -13- 1335609 之電漿處理裝置之電漿蝕刻處理裝置一例之縱向’斷面圖。 圖中2係成爲處理容器之真空室,依據鋁等之導電性材料 所形成之氣密式構造,真空室2係保安接地。另外,真空 室2之內面,配置圓筒形狀之鍍層2a,防止內面因電漿 而受損傷。其次,真空室2內,兼用上方電極之氣體噴頭 3及兼用下方電極之載置台4成對向設置,於底面則連接 與由例如渦輪分子啷筒或乾式啷筒等所形成之真空排氣手 # 段21相連之作爲真空排氣路徑之排氣管22。另外,於真 空室2之側壁部份,形成搬入搬出被處理物,如半導體晶 圓W用之開口部份23,可以門閥G自由開關。於此側壁 部份的外側,於上下夾住開口部份2 3的位置,例如分別 設置成環狀的永久磁石24及25。 氣體噴頭3係於載置台4上之被處理物W之相對位 • 置上,形成多孔部份31,由上方的供給氣體管32所流量 控制或壓力控制之流程氣體,介由該孔部3 1,均勻地供 β 給於被處理物W的表面而構成。 於氣體噴頭3之下方,以約5mm至150mm之間隔分 開設置之載置台4,如表面由經氧化鋁膜處理之鋁等所形 成,具備對於真空室2以絕緣材料41a所絕緣之圓柱狀之 本體部份41,及於此本體部份41的上面所設置之靜電吸 盤42’及包圍此靜電吸盤42周圍之對焦器43,及此對焦 器43與本體部份41間所設置之環狀絕緣材料之絕緣環 43a所構成。另外,對焦器43係因應流程而選擇絕緣性 或導電性的材料,作用以關閉或擴散反應性離子。 -14- 1335609 載置食4'之如本體部份4·1係介由電容器Cl及線圈 L1而連接高周波電源40,例如外加l3_56MHz至100MHz 之高周波電力。 另外,於載置台4之內部,分別設置有冷卻被套等之 調整溫度手段55a,及例如將He氣體供給被處理物W裏 面之熱傳導氣體手段5 5b,依據能動化此等之調整溫度手 段55a及熱傳導氣體手段55b,可設定載置台4所保持之 被處理物W之處理面溫度爲所需之値。調整溫度手段55a 係具有介由冷卻被套以循環冷媒用之導入管56及排出管 57,調整成適當溫度之冷媒,依據導入管56供給於冷卻 被套內,熱交換後之冷媒,以排出管57排出外部。 載置台4及真空室2之間,載置台4表面之下方,如 包圍載置台4般地設置穿設多數個排氣孔之環狀排氣扇 44。依據此排氣扇44,調整排氣氣流的流動以及載置台4 及氣體噴頭3之間,關閉最適合的電漿。另外,載置台4 之內部,設有未圖示外部之搬運臂之間,進行接受被處理 物W用之多數如3支(僅圖示2支)伸縮自在之昇降材 料之昇降栓51,構成此昇降栓51係介由連接材料52,以 驅動機器53而可昇降。54係保持昇降栓51之貫通孔與 大氣方面間之氣密狀態之波紋管。 於如此之電漿蝕刻處理裝置中,首先介由門閥G及 開口部份23,搬入被處理物W於真空室2內,載置於靜 電吸盤42上,關閉門閥G後,以真空排氣手段21,介由 排氣管22,將真空室2內排氣成所定的真空度。其次, -15- 1335609, 於真空室2內,供給流程氣體,·以及由直流電源、了外 直流電壓至吸盤電極46,使被處理物w以靜電吸盤42 電吸附,於此狀態,由高周波電源40外加所定周波數 高周波電力於載置台4之本體部份41,依此,於氣體 頭3與載置台4之間’發生高周波電場,電漿化流程氣 ,對於靜電吸盤42之被處理物W,施以蝕刻處理。 作爲流程氣體,係使用 C 4 F 8或N F 3等之氟化物 % BC13或SnCl4等之氯化物以及如HBr之溴化物爲首之 有鹵素之氣體。因此’真空室2內成爲腐蝕性極強的環 ,對於如鑛層2a'靜電吸盤42、排氣葉片44、對焦器 、氣體噴頭3、載置台4、靜電吸盤42,另外,真空室 之內壁材等之真空室2內之材料,亦即電槳處理容器內 ' 件,強烈要求耐電漿性。 ’ 以下係詳細說明本發明對象之處理容器內構件。 ® ( 1 )第1實施型態 使用於基材上形成火焰噴塗被膜者爲如此之處理容 內構件時,以往雖發生火焰噴塗被膜剝離,但依據本發 者等之檢討結果,認爲電漿處理容器內構件之火焰噴塗 膜剝離係因爲由火焰噴塗被膜之貫通氣孔(微細孔)、 火焰噴塗被膜之邊界部份、或因電漿或氣體等而損傷的 位等,滲入流程氣體或洗淨液而到達基材,基材表面腐 而發生。 亦即,準備使用含氟化物之流程氣體而施以電漿處 加 靜 之 噴 體 含 境 43 2 構 器 明 被 與 部 蝕 理 -16- 1335609 之處理容器內構件,分析與火焰噴塗被膜之邊界面(基材 表面)時,可確認該部份中之F(氟),由此可推測,此 F與水份(OH )反應而HF化,基材表面腐蝕變化(發生 腐蝕生成物),而導致火焰噴塗被膜剝離者。 因此,與火焰噴塗被膜之邊界面,亦即基材表面不曝 露於流程氣體或洗淨液係重要的。 基於如此之發現,於第1實施型態中,關於圖1中之 鍍層2a、排氣葉片44、對焦器43、氣體噴頭3、載置台 4、靜電吸盤42,另外,真空室2之內壁材料等之真空室 2內之材料,亦即電漿處理容器內構件,火焰噴塗被膜的 表面至基材之任何位置,即使曝露於流程氣體或洗淨液, 亦難腐蝕,可防止氣體或洗淨液到達基材表面,形成具有 遮蔽機能的部份。 依據以如此耐腐蝕性優異之材料而形成具有遮蔽機能 的部份,對於通過火焰噴塗被膜的貫通氣孔(微細孔)所 滲入之氣體或洗淨液,可保護基材的表面。另外,若將具 有遮蔽機能之部份與基材相接,選擇具有高密合性者爲該 材料’對於由具有遮蔽機能部份與基材表面之邊界面所滲 A之流程氣體或洗淨液,可保護基材表面。 以下係詳述關於第1實施型態之具體構成。 首先,關於第1例之電漿處理容器內構件係如圖2所 示’基本上,基材71與其表面上所形成之被膜72所形成 °被膜72係具有依據火焰噴塗所形成之主層73、及基材 71與主層間之即使曝露於流程氣體或洗淨液亦難腐蝕之 -17- 丨1335609. 具有遮蔽機能之遮蔽被覆層74。· 作爲成爲上述被膜72之施工對象之基材71,適合使 用包含不銹鋼(SUS)之各種鋼、A1及A1合金、W及W 合金、Ti及Ti合金、Mo及Mo合金、碳及氧化物系、非 氧化物系陶瓷燒結體、以及碳質材料等。 作爲遮蔽被覆層74之材質,係以包含至少1種選自 B、Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce 及 Nd 所成群 # 之元素之陶瓷爲宜,更具體地係至少1種選自B4C、MgO 、Al2〇3、Sic、Si3N4、Si〇2、CaF2、Cr203、Y203、YF3 、Zr02、Ta02、Ce02、Ce203、CeF3 及 Nd203 所成群之陶 瓷爲宜。例如TOCALO股份有限公司製之「CDC — ZAC」 及「super - ZAC」等可適合使用。「CDC — ZAC」係以 Cr2Ο3爲主要成份之複合陶瓷,具有無氣孔、高硬度及高 密合力等之性質。另一方面,「super-ZAC」係以Si〇2 及Cr2〇3爲主要成份之複合陶瓷,除了無氣孔、高硬度及 ® 高密合力以外,還加上耐熱性與耐摩擦性優異。此遮蔽被 覆層74係依據火焰噴塗法所形成爲宜。火焰噴塗法係將 依據燃燒氣體及電等之熱源所溶融之原料,噴霧於母材而 形成皮膜之方法。另外,遮蔽層74係可以pVD (
Physical Vapor Deposition,物理氣相沈積)法或 CVD( Chemical Vapor Deposition,化學氣相沈積法)法等之形 成薄膜技術、浸漬法或塗佈法等之方法形成。所謂PVD 法係依據離子電鍍法,將各種陶瓷膜以低溫被覆的方法, 另一方面’ CVD法係依據熱化學之蒸著法’以高溫度單 -18- 1335609 層或多層被覆的方法。另外,浸漬法係將各種材料浸漬於 樹脂溶液後,施以熱處理的方法,塗佈法係塗佈樹脂溶液 於各種材料後,以所定溫度熱處理的方法。遮蔽被覆層 74之厚度係以50至10〇em爲宜。 此時,至少一部份之遮蔽被覆層74,例如與基材71 之接合面或整體係施以使用樹脂之封孔處理爲宜。作爲此 時之樹脂,係以選自SI、PTFE、PI、PAI、PEI、PBI及 PF A所成群者爲宜。亦即,以上述之火焰噴塗法等形成由 陶瓷所形成之遮蔽被覆層74時,雖構成具有貫通氣孔( 微細孔)之多孔質,依據以樹脂封孔至少一部份之該多孔 質層之微細孔,提昇阻止通過火焰噴塗被膜之主層73之 微細孔所侵入之氣體或洗淨液之功效,可有效地保護基材 7卜 另外’ SI係指聚矽氧烷,pTFE係指聚四氟乙烯,PI 係指聚醯亞胺’ PAI係指聚醯胺醯亞胺,PEI係指聚醚醯 亞胺’ PBI係指聚苯并咪唑,PFA係指全氟烷氧基樹脂。 封孔處理係可以溶膠凝膠方法進行。依據溶膠凝膠方 法之封孔處理係以將陶瓷分散於有機溶劑之溶膠(膠體溶 液)封孔後’依據加熱凝膠化而進行。依此,實現以陶瓷 封孔’可提昇遮蔽功效。此時之封孔處理係以使用選自屬 於周期表第3a族之元素者爲宜。即使其中,係以耐蝕性 高之Y2〇3爲宜。 另外’作爲遮蔽被覆層74之其他材質,可適合使用 工程塑料。具體上係以選自PTFE、PI、PAI、PEI、ΡΒΙ、 1335609 PFA、PPS及POM所成群者之樹脂爲宜,例如Dupont股 份有限公司製之「Teflon (註冊商標)」(PTFE)等可適 合使用。此等樹脂係密合性優異,並且耐藥品性優異,亦 充份地忍耐清洗時之洗淨液。 另外’ PTFE係指聚四氟乙烯,PI係指聚醯亞胺, PAI係指聚醯胺醯亞胺,PEI係指聚醚醯亞胺,PBI係指 聚苯并咪唑’ PF A係指全氟烷氧基樹脂,PPS係指聚苯硫 • 醚及POM係指聚縮醛。 另外’基材71與遮蔽被覆層74之間,亦可形成如圖 3所示之陽極氧化被膜75。此時,依據以草酸、鉻酸、磷 酸、硝酸、甲酸、或磺酸等之有機酸形成陽極氧化被膜, 與依據硫酸之陽極氧化處理時相比,形成耐腐蝕性優異之 氧化被膜,可更進一步抑制流程氣體或洗淨液之腐蝕,所 ' 以適宜。陽極氧化被膜75之膜厚係以10至200/zm爲宜 〇 ^ 如此地於基材7 1與遮蔽被覆層74之間形成陽極氧化 被膜75時,依據封孔陽極氧化被膜75的微細孔,可使耐 蝕性更大幅度地提高。此時,可適用浸漬材料於含Ni等 金屬鹽之熱水,於氧化被膜之微細孔,金屬鹽水溶液加水 分解,氫氧化物沈澱而封孔之金屬鹽封孔等。 另外,使用於封孔處理遮蔽被覆層74時所使用之樹 脂(選自 SI、PTFE、PI、PAI、PEI、PBI 及 PFA 所成群 ),即使進行封孔處理陽極氧化被膜75之微細孔,仍可 期待同樣的功效。 -20- 1335609 另外,作爲形成於基材7r之表面之陽極氧化被膜75 ,可使用具有多孔性陶瓷層之陽極氧化被膜(KEPL A -COAT :註冊商標)》 另外,此陽極氧化被膜(KEPLA— COAT)係浸漬基 材於鹼系有機電解液爲陽極,於此鹼系有機電解液中依據 放電而形成氧電漿者。 火焰噴塗被膜之主層73係以包含至少1種選自B ‘、 Mg、Al、Si、Ca、Cr、Y、Zr、Ta、Ce 及 Nd 所成群之元 素爲宜,具體地係至少1種選自B4c、MgO、A1203、SiC 、Si3N4、Si02 ' CaF2、Cr203、Y2〇3 ' YF3、Zr02、Ta02 、Ce02、Ce203、CeF3及Nd203之陶瓷爲宜。此時之主層 73之膜厚係以10至500//m爲宜。 製造如此構造之電漿處理容器內構件時,首先於基材 71之表面,施以噴霧Al2〇3、SiC或砂等粒子之噴射處理 ,顯微下表面成爲凹凸形狀,可提高其上與所形成之遮蔽 被覆層74或陽極氧化被膜75之密合性爲宜。另外,作爲 使表面凹凸的手法,並不局限於上述之噴射處理,例如亦 可依據浸漬於所定的藥液以蝕刻表面。 其次,於基材71上直接或介由陽極氧化被膜75,將 上述之遮蔽被覆層74,以火焰噴塗法等之上述之適宜方 法形成。因應需要時,進行如上述之封孔處理。封孔處理 時,塗佈上述之樹脂或陶瓷之溶膠於遮蔽被覆層74的表 面,或將遮蔽被覆層74連同基材71浸漬於樹脂封孔劑或 陶瓷溶膠中。以陶瓷溶膠封孔時,之後加熱使凝膠化。 -21 - 1335609 形成遮蔽被覆層74後,接著於其上,形成至少1種 選自 B4C、MgO、Al2〇3 ' SiC、Si3N4、Si〇2、CaF2、
Cr203、Y2〇3、YF3、Zr02、Ta02、Ce02、Ce2〇3、CeF3 及
Nd2〇3所成群之陶瓷所形成之火焰噴塗被膜之主層73。另 外,遮蔽被覆層74雖選擇密合性優異者,但爲使與主層 73之密合性更好’亦可於遮蔽被覆層74之表面施以噴射 處理等。 如上所述,於此例中’將對於含鹵素之流程氣體或洗 淨液之耐腐蝕性優異的材料所形成之遮蔽被覆層74,形 成於火焰噴塗被膜之主層73與基材71之間,因爲所構成 之基材71的表面係不曝露於流程氣體(鹵素)或洗淨液 ,所以可解除因基材71的表面發生腐蝕生成物而使基材 7 1上之火焰噴塗被膜72剝離的問題。 其次係說明關於第2例。 第2例中,如圖4之(a ) 、( b )及(c )所示,於 基材71的表面上,依據陶瓷之火焰噴塗而形成被膜76, 至少一部份之被膜76形成封孔處理部份76a。圖4之(a )例中,於被膜76之基材71側,形成封孔處理部份76a ,圖4之(b )例中,於被膜76之表面側,形成封孔處理 部份76a,圖4之(c )例中,以被膜76之整體爲封孔處 理部份76a。 被膜76係包含至少1種選自B、Mg、Al、Si、Ca、 Cr、Y、Zr、Ta、Ce及Nd所成群之元素,具體上係至少 1 種選自 B4C、MgO、Al2〇3、SiC、Si3N4、Si02、CaF2、 -22- 1335609
Cr203、Υ203、YF3、Zr02、Ta02、Ce02、Ce203、CeF3 及 Nd203所成群之陶瓷爲宜。此時之被膜76之膜厚係以50 至300#m爲宜。另外,作爲基材71,係可使用與第1例 完全相同者。 封孔處理部份76a係以與施予上述第1例之遮蔽層 74者完全相同的樹脂封孔或依據溶膠凝膠方法封孔而可 形成。如此地設置封孔處理部份76a,可有效地阻止通過 爲火焰噴塗被膜之被膜76之微細孔所滲入之氣體或洗淨 液,可充份地保護基材71。因爲此封孔處理部份76a係 爲如此地阻止氣體或洗淨液到達基材7 1者,所以上述圖 4之(a)至(c)之任一種均可發揮其效果。但以如圖4 之(a )所示之於被膜76之基材71側形成封孔處理部份 76a爲宜。亦即,將施以封孔處理於火焰噴塗被膜之處理 容器內構件,使用於高真空範圍(例如13.3 Pa),外加高 周波電力所形成之電漿環境時,封孔劑中之稀釋有機溶媒 (例如醋酸乙酯)蒸發,或因電漿或流程氣體等而封孔劑 腐飩等,而使火焰噴塗被膜中再次形成氣孔(微細孔)。 因此氣孔,處理容器內部劑之表面狀態(溫度或生成物之 附著狀態等)經時地變化,有造成處理容器內之流程不良 影響之可能性。因此,如圖4之(a),若於被膜76之表 面側不施以封孔處理時,可抑制被膜76的表面改質而安 定地實施步驟。另外,封孔處理部份76a係不局限於上述 圖4之(a)至(c)所示之位置,例如亦可形成於被膜 76之中間位置。封孔處理部份76a之厚度係以50至100 -23- 1335609 // m爲宜。 · 於此例中,如圖5所示,於基材71與被膜76之間’ 亦可形成與上述第1例完全相同之陽極氧化被膜75。另 外,此時,亦以封孔處理此陽極氧化被膜75爲宜,此封 孔處理亦可適用與上述者相同的金屬鹽封孔等。 其次係說明關於第3例。 第3例中,如圖6之(a )及(b )所示,於基材71 • 的表面上,依據陶瓷之火焰噴塗而形成被膜77,被膜77 係2層構造之第1陶瓷層78及第2陶瓷層79,至少一層 之至少一部份形成封孔處理部份。圖6之(a)例中,於 表面側之第1陶瓷層78,形成封孔處理部份78a,圖6之 (b)例中,於基材71側之第2陶瓷層79,形成封孔處 理部份79a。 ' 構成被膜77之第1陶瓷層78及第2陶瓷層79之任 —層係包含至少1種選自B、Mg、Al、Si、Ca、Cr、Y、 ^ Zr、Ta、Ce及Nd所成群之元素,具體地係至少1種選自 B 4 C ' MgO、A12 〇 3、S i C、S i 3 N 4、S i Ο 2、C a F 2、C r 2 〇 3、 Y 2 〇 3 ' Y F 3 ' Zr〇2、T a 0 2 ' Ce〇2、C e 2 〇 3 ' C e F 3 及 N d 2 0 3 所成群之陶瓷爲宜。此時之被膜77之膜厚係以50至300 爲宜。另外,作爲基材71,係可使用與第1例完全 相同者。 封孔處理部份78a及79a係依據與施予上述第丨例之 遮蔽層74者完全相同的樹脂封孔或溶膠凝膠法封孔而可 形成。如此地設置封孔處理部份78a及79a,可有效地阻 -24- 1335609 止通過爲火焰噴塗被膜之第1及第2陶瓷層78及 細孔所滲入之氣體或洗淨液。可充份地保護基材 爲此封孔處理部份78a及79a係爲如此地阻止氣| 液到達基材7 1者,所以只要能發揮其機能,封孔 份78a及79a的位置並無限制,另外,層整體作: 理部份亦可。另外,亦可於第1及第2陶瓷層78 方形成封孔處理部份。封孔處理部份78a及79a之 以50至100ym爲宜。 如此地於基材71上形成2層構造之被膜77, 要求之耐蝕性及遮蔽性,可適當地設定此等2層之 於所需位置施以封孔處理,可形成自由度極高的使 如使用Y2〇3作爲表面側之第1陶瓷層78,使用 Α12〇3作爲基材71側之第2陶瓷層79,至少於一 第2陶瓷層79,施以封孔處理,可形成耐蝕性及 極高者。 於此例中,如圖7所示,於基材71與被膜77 亦可形成與上述第1例完全相同之陽極氧化被膜 外,此時,亦以封孔處理此陽極氧化被膜75爲宜 孔處理亦可適用與上述者相同的金屬鹽封孔等。 爲確認上述事項,分別準備於Α1合金之基材 Υ2〇3火焰噴塗被膜之試樣①,及於Α1合金之基材 樹脂(PTFE)之遮蔽被覆層而形成Υ2〇3火焰噴塗 試樣②,以及於Α1合金之基材上形成Υ2〇3火焰噴 ,其一部份以樹脂封孔處理之試樣③,滴下氟酸 79之微 71。因 或洗淨 處理部 封孔處 泛79雙 厚度係 因應所 材料, 用。例 YF3或 部份之 遮蔽性 之間, 75。另 ,此封 上形成 上介由 被膜之 塗被膜 (HF ) -25- 1335609 溶液於各試樣①至③之表面,比·較放置於電漿環境下時之 火焰噴塗被膜之表面狀態。更具體地說明時,於各試樣表 面上,滴下ΙΟ/zL之38%濃度之氟酸溶液,以50°C加熱 3小時後,將試樣放置於CF系氣體之電漿環境下3分鐘 。由其結果可知,未施以火焰噴塗被膜剝離對策之試樣① ,於整體表面發生裂縫,相對於此,基材與火焰噴塗被膜 之間,形成遮蔽被覆層之試樣②及一部份的火焰噴塗被膜 # 依據樹脂施以封孔處理之試樣③,無裂縫,防止流程氣體 或洗淨液的滲入,保護基材表面。 (2 )第2實施型態 雖然使用ai2o3或Y203於電漿處理容器之壁材或其 他之電槳處理容器內構件時,因爲與空氣中水份之反應性 ' 高’將處理容器之真空室開放於大氣時或將真空室濕洗時 ’大量取入水份而發生各種問題,但依據本發明者等之檢 ® 討結果,發現依據對於含有Υ2〇3等之屬於周期表第3a族 元素之陶瓷,施以水合處理,或依據形成含有此等元素之 氫氧化物,而可解除此等不良狀況。 基於如此的發現,於第2實施型態中,關於圖1中之 鍍層2a、排氣葉片44、對焦器43、氣體噴頭3、載置台 4、靜電吸盤42,另外,真空室2之內壁材料等之真空室 2內之材料,亦即電漿處理容器內構件,形成對於含有屬 於周期表第3a族元素之陶瓷,施以水合處理之部份,或 形成至少一部份爲含有該元素之氫氧化物。 -26- 1335609 依據如此地形成時,因爲可形成難以吸附水份及難以 脫離之構造,可得到於電漿處理時,難以發生水份脫離之 電漿處理容器內構件。 首先,第1例中,如圖8所示之基材81上,形成由 包含屬於周期表第3a族元素之陶瓷所形成之被膜82,例 如至少其表面部份形成水合處理部份82a。 作爲基材81係與上述之基材71同樣地適合使用包含 不銹鋼(SUS)之各種鋼、A1及A1合金、W及W合金' Ti及Ti合金、Mo及Mo合金、碳及氧化物系、非氧化物 系陶瓷燒結體、以及碳質材料等。 作爲被膜82雖可由包含屬於周期表第3a族元素之陶 瓷所構成,但以包含屬於周期表第3a族元素之氧化物爲 宜。另外,此等中係以Y203、Ce02、Ce203及Nd2〇3爲 宜,其中多爲傳統上所使用,因爲有高的耐餘性,以 Y2〇3尤佳。 此被膜82係可依據火焰噴塗法、PVD法或CVD法等 之形成薄膜技術而適當地形成。另外,亦可以其他之浸漬 法、或塗佈法等之方法而形成。 水合處理部份82a係可依據例如將被膜82與7_Jc蒸氣( 或高溫水反應而發生水合反應而形成。使用 Υ2〇3丨乍爲陶( 瓷時,發生如下述(1)式之反應。 Υ2〇3 + Η20 —Υ2〇3 . (Η20)η —2(ΥΟΟΗ) —Υ(0Η)3..·⑴ 1335609 但上述(1)式未考慮價數· 如此(1)式表示,依據水合處理,最終地形成Y的 氫氧化物。其他之屬於周期表第3a族元素時亦依據大約 相同的反應而形成氫氧化物。作爲如此的氫氧化物係以Y (OH) 3、Ce(OH) 3 及 Nd(OH) 3 爲宜。 爲確認此事,準備於基材上形成Y2〇3火焰噴塗被膜 之試樣,於80 °c之高溫水浸漬150小時,進行水合處理 φ 後,於室溫乾燥者以及未進行如此處理者,進行X光折 射測定。其結果係如圖9之(a)及(b )所示,僅進行水 合處理的試樣認爲有Y(〇H) 3,確認依據水合處理而形 成氫氧化物。 屬於周期表第3a族元素之氫氧化物係極爲安定,具 ' 有化學性吸附的水難以脫離,而且難以吸附水之特性,依 據水合處理形成如此之氫氧化物,可避免步驟中因水份之 不良狀況。 ^ 爲確認依據如此水合處理之功效,於基材上形成200 程度之Y2〇3火焰噴塗被膜,準備於沸騰水中處理3 小時之試樣與未處理之試樣,於此二者噴霧ΙΡΑ(異丙醇 )。另外,IΡ Α之吸附性比水高,因此,噴霧IΡ Α成爲加 速試驗。此試驗結果係如圖10所示之未水合處理者吸附 IPA,經水合處理者完全不吸附。由此可確認依據水合處 理,將極難發生吸水。 其次,與上述同樣地於基材上形成200 /zm程度之 Y2〇3火焰噴塗被膜,準備於沸騰水中處理3小時之試樣 -28- 1335609 與未處理之試樣,於此等上塗佈樹脂後,確認切斷之斷面 。其結果如圖11之(a)及(b)所示,雖然兩者之表面 狀態並無差異,但是認爲「無處理」時,被膜整體透明, 整體爲樹脂所滲透,相對於此,確認「有處理」時僅表層 些許部份爲透明,內部變白,樹脂幾乎未滲透。亦即,判 斷依據進行水合處理,將成爲疏水性。另外,如圖π之 (e)所示’水合處理後除去20/zm程度時,該部份成爲 透明,依據除去進行水合處理表層之20//m程度,確認 疏水性降低。 另外,關於H20對於Y203表面所造成的影響,詳述 於 Langmuir,Vol. 1 6,Νο· 1 7,2000 之 6 9 3 7 — 6 9 4 7 頁所記載 之黑田等之「Specific Adsorption Behavior of Water on a Y2〇3 Surface」論文。 以下係具體地說明水合處理。 水合處理係可於水蒸氣豐富的環境進行熱處理,或是 於沸騰的水中處理進行。因此,例如三氧化二釔(Y2〇3 ) 分子的周圍吸引數個水分子而鍵結’可形成安定的一個分 子集團。此時,水蒸氣之分壓 '熱處理溫度及熱處理時間 等爲參數。例如依據於相對濕度爲90%以上之環境,100 至300 °C程度的爐中,進行24小時程度之加熱處理而可 形成安定的氫氧化物。若相對濕度或熱處理溫度低時’加 長處理時間亦可。爲有效率地進行水合處理’以高溫高壓 處理爲宜。因爲三氧化二釔表面之水合反應係基本上即使 於室溫程度下,若長時間進行時仍可充份進行’即使上述 -29- 1335609 條件以外,可得到相同的最終狀·態。另外,水合處理時, 使用含離子水(比pH7大的鹼性水)之水化處理比使用 純水之水化處理,疏水性爲更優異者。 另外,不局限於水合處理,若例如於原料階段形成氫 氧化物等之最終可形成氫氧化物時,亦可採用其他方法。 以火焰噴塗法製造被膜時,因爲使原料更加高溫,若於原 料階段形成氫氧化物時,氫氧化物有變化成氧化物之虞, # 但即使如此,於高濕度環境下仍可依據火焰噴塗形成氫氧 化物膜。如此地,取代形成水合處理部份,依據其他方法 直接形成氫氧化物亦可。 如此的水化處理部份或氫氧化物層爲使被膜82形成 難吸附及難脫離水份之構造,必須形成於被膜82之表面 部份。此時之水化處理部份或氫氧化物膜之厚度係以100 ' Mm以上爲宜,因應使用場所而可設定最適合之厚度。 依據水合處理含有屬於周期表第3a族元素之陶瓷, β 可促進緻密化。例如關於以火焰噴塗所形成之Y203膜, 雖然於水合處理前係如圖12之(a)所示之多孔狀態者, 但依據水合處理,而如圖12之(b)所示之緻密化。依據 如此地緻化,亦可得到上述效果以外的如第1實施型態之 遮蔽效果。 就僅得到遮蔽功效之觀點而言,依據水合處理而形成 氫氧化物之水合處理部份82a並不一定必須在表面上,亦 可形成於被膜82之任何位置。形成以其他方法形成氫氧 化物之氫氧化物層時,係以如上述之樹脂或溶膠凝膠法之 -30- 1335609 封孔處理爲宜。於此例中,如圖13所示,與第1實施型 態同樣地於基材81及被膜82之間,亦可形成與第1實施 型態完全相同的陽極氧化被膜83。另外,與第1實施型 態同樣地封孔處理此陽極氧化被膜83爲宜,作爲此封孔 處理係可適用與上述相同之金屬鹽封孔等。 其次係說明關於第2例。 第2例中,如圖14之(a)及(b)所示,於基材81 的表面上,形成被膜84,被膜84係2層構造之第1陶瓷 層85及第2陶瓷層86,至少一層之至少一部份形成水合 處理部份。圖14之(a )例中,於表面側之第1陶瓷層 85,形成水合處理部份85a,圖14之(b)例中,於基材 81側之第2陶瓷層86,形成水合處理部份86b。 構成被膜84之第1陶瓷層85及第2陶瓷層86之任 一層係與第1例同樣地以包含屬於周期表第3a族元素之 陶瓷所構成’以包含屬於周期表第3a族元素之氧化物爲 宜,其中係以Y2〇3、Ce〇2、Ce203及Nd203爲宜,以 Y2〇3尤佳。另外,作爲基材81係可使用與第1例完全相 同者。 此等第1及第2陶瓷層85及86係與第1例中之被膜 82同樣地可依據火焰噴塗法、PVD法或CVD法等之形成 薄膜技術而適當地形成。另外,亦可以其他之浸漬法、或 塗佈法等之方法而形成。 水合處理部份85a及86b係可與第1例中之水合處理 部份82a完全同樣地形成。如圖14之(&),被膜84之 •31 - 1335609. 表面有水合處理部份時,可形成-難吸附及難脫離水份之構 造,如圖14之(b)例所示,於被膜84之內部有水合處 理部份時,可有效地發揮遮蔽功效。爲形成被膜84內部 之水合處理部份86a,亦可於基材81上製造第2陶瓷層 86後,進行水合處理,再形成第1陶瓷層85。水合處理 部份85a及86b之厚度係以l〇〇//m以上爲宜。 如此地,依據使基材81上所形成被膜84成爲2層構 φ 造,因應所需特性,可適當地設定此等2層之材料及水合 處理位置,可形成自由度極高的使用。 於此例中,如圖15所示,基材81及被膜84之間, 亦可形成與第1例完全同樣的陽極氧化被膜83。 其次係說明關於第3例。 第3例中,如圖16所示,於基材81的表面上,形成 ' 被膜87,被膜87係具有包含屬於周期表第3a族元素之 陶瓷所形成之第1陶瓷層88,及以陶瓷之火焰噴塗所形 ^ 成之第2陶瓷層89,於第1陶瓷層88之表面部份形成水 合處理部份88a。 作爲第1陶瓷層88之包含屬於周期表第3a族元素之 陶瓷係以包含屬於周期表第3a族元素之氧化物爲宜,此 等中係以Y2〇3、Ce02、Ce2〇3及Nd2〇3爲宜,以Y2〇3尤 佳。第1陶瓷層88之膜厚係以100至300//m爲宜。第1 陶瓷層88係與第1例中之被膜82同樣地可依據火焰噴塗 法、PVD法或CVD法等之形成薄膜技術而適當地形成。 另外’亦可以其他之浸漬法、或塗佈法等之方法而形成。 -32- 1335609 作爲第2陶瓷層89係以包含至少1種選自B、Mg、 A1、Si、Ca、Cr ' Y、Zr、Ta、Ce及Nd所成群之元素者 爲宜,具體上係至少1種選自B4c、MgO、Al2〇3、SiC、 Si3N4 、 Si02 、 CaF2 、 Cr2〇3 、 Y2O3 、 YF3 、 Zr02 、 Ta02 、 Ce02、Ce2〇3、CeF3及Nd203所成群之陶瓷爲宜。第2陶 瓷層89之膜厚係以50至3 00 爲宜。另外,作爲基材 81,係可使用與第1例完全相同者》 水合處理部份88a係可與第1例中之水合處理部份 82a完全同樣地形成。因爲如此地於被膜84之表面形成 水合處理部份,所以可形成難吸附及難脫離水份之構造。 另外,於第1陶瓷層88之內部形成水合處理部份88a時 ,亦可發揮遮蔽功效。水合處理部份88a之厚度係以100 β m以上爲宜。 如圖17所示,於第2陶瓷層89上形成封孔處理部份 89a爲宜。封孔處理部份89a係與第1實施型態中所說明 者完全相同的樹脂封孔或依據溶膠凝膠法封孔而可形成。 如此地依據設置封孔處理部份89a,可有效地阻止通過爲 火焰噴塗被膜之第2陶瓷層89之微細孔所侵入之氣體或 洗淨液,可充份地保護基材81。另外,封孔處理部份8 9a 係可形成於第2陶瓷層8 9之任何位置。 依據形成如圖16及圖17所示之構造而耐蝕性優異, 以及依據第1陶瓷層88之水合處理部份88a而可形成難 吸附及難脫離水份之構造,而且依據第2陶瓷層89之遮 蔽功效,可有效地保護基材81。尤其於圖17之構造中, -33- 1335609. 依據封孔處理部份89a的存在,·可更提高遮蔽功效。 另外,如圖18所示,使第1陶瓷層88與第2陶瓷層 89相反亦可。此時,基材81側之第1陶瓷層88之水合 處理部份88a,有效地發揮遮蔽功效而可提高保護基材81 之效果。 此例中,如圖19所示,於基材81及被膜8 7之間, 亦可形成與第1例完全同樣的陽極氧化被膜83。 II 其次係說明關於第3實施型態。 有關此實施型態之電漿處理容器內構件係如圖20所 示,於包含屬於周期表第3a族元素之陶瓷燒結體90之表 面上,形成水合處理部份91»水合處理部份91係可與第 2實施型態完全同樣地形成。依據水合處理而形成包含屬 ' 於周期表第3a族元素之氫氧化物。 ' 依據如此地形成水合處理部份91於表面,可形成難 吸附及難脫離水份之構造。此時之水合處理部份91或氫 I 氧化物膜之厚度係以ΙΟΟμιη以上爲宜。 於本實施型態中,與第2實施型態同樣地,係以包含 屬於周期表第3a族元素之陶瓷及包含屬於周期表第3a族 元素之氧化物爲宜。此等中係以 Y2〇3、Ce〇2、Ce203及 Nd203爲宜,以Y2 03尤佳。 另外,本發明並不局限於上述之實施型態,可有各種 變法。例如於上述之實施型態,如圖1所示,對於使用永 久磁鐵之磁控管型平行平板型之電漿蝕刻裝置之電槳處理 容器內構件之鍍層2a、排氣葉片44、對焦器43、氣體噴 -34- 1335609 頭3、載置台4、靜電吸盤42及真空室2之內壁材料,使 用本發明爲例說明,但本發明並不局限於相關構成之裝置 ’可適用於全部之不使用磁控管之平行平板型之電漿蝕刻 裝置、或誘導鍵結型等之其他電漿蝕刻處理裝置、以及不 僅蝕刻裝置,進行拋光處理或成膜處理等之蝕刻以外之各 種電漿處理裝置,另外,不僅於半導體晶圓,於LCD用 玻璃基材上施以處理之電漿處理裝置所使用之電漿處理容 器內構件。 _ 產業上利用性 有關本發明之電漿處理容器內構件,尤其於基材上所 形成的被膜係以高耐蝕性陶瓷構成,因爲設置遮蔽機能的 部份,所以適合於高腐蝕性環境之電漿處理。另外,施以 _ 水合處理於包含屬於周期表第3a族元素之陶瓷,因爲形 - 成對水安定的構造,所以適合作爲水份爲問題之電漿處理 容器內構# ° · 【圖式簡單說明】 圖1係表示有關本發明之實施型態之電漿處理容器內 構件所搭載之電漿蝕刻裝置之縱向斷面圖》 圖2係表示有關本發明之第1實施型態之電漿處理容 器內構件之第1例之層構成斷面圖。 圖3係表示於圖2之構成上,施加陽極氧化被膜例之 斷面圖。 -35- 1335609 圖4係表示有關本發明之第· 1實施型態之電漿處理容 器內構件之第2例之層構成斷面圖。 圖5係表示於圖4之構成上,施加陽極氧化被膜例之 斷面圖。 圖6係表示有關本發明之第丨實施型態之電漿處理容 器內構件之第3例之層構成斷面圖。 圖7係表示於圖6之構成上,施加陽極氧化被膜例之 # 斷面圖。 圖8係表示有關本發明之第2實施型態之電漿處理容 器內構件之第1例之層構成斷面圖》 圖9係表示比較於γ2〇3被膜上進行水合處理時及未 進行時之X光解析模式圖。 圖丨〇係表示比較於Υ2〇3被膜上進行水合處理時及未 • 進行時之ΙΡΑ吸附圖。 圖11係表示比較於Υ2〇3被膜上進行水合處理時及未 ♦進行時之樹脂滲透圖。 圖12係表示比較水合處理前及處理後之層狀態之掃 描式電子顯微鏡相片。 圖13係表示於圖8之構成上,施加陽極氧化被膜例 之斷面圖。 圖14係表示有關本發明之第2實施型態之電漿處理 容器內構件之第2例之層構成斷面圖。 圖15係表示於圖14之構成上,施加陽極氧化被膜例 之斷面圖。 -36- 1335609 圖16係表示有關本發明之第2實施型態之電漿處理 容器內構件之第3例之層構成斷面圖。 圖17係表示有關本發明之第2實施型態之電漿處理 容器內構件之第3例之層構成斷面圖。 圖18係表示有關本發明之第2實施型態之電漿處理 容器內構件之第3例之層構成斷面圖。 圖19係表示於圖16之構成上,施加陽極氧化被膜例 之斷面圖。 籲 圖20係表示有關本發明之第3實施型態之電漿處理 容器內構件之模式圖。 圖21係表示於傳統之電漿處理容器內構件中’火焰 噴塗被膜(頂層)剝離狀態之模型式圖。 【主要元件對照表】 2 :真空室 2a :鍍層 3 :氣體噴頭 4 :載置台 42 :靜電吸盤 43 :對焦器 44 :排氣扇 71及81 :基材 72、76、77、82、84 及 87:被膜 74 :遮蔽被覆膜 -37- 1335609, 75 及 83 : 76a、 78a: 82a、 86a、 陽極氧化被膜 ‘ 泛79a :封孔處理部份 88a及91:水合處理部份
-38-

Claims (1)

1335609 _ _ — * ———· 拾、申請專利範圍 第92 1 33602號專利申請案 中文申請專利範圍修正本 民國98年11月25日修正 1·一種電漿處理容器內構件,其特徵爲,具有基材與 其表面上所形成之被膜之電漿處理容器內構件, 該被膜係具有經陶瓷之火焰噴塗所形成之主層,以及 該基材與該主層間所形成之工程塑料所形成之遮蔽被覆層 〇 2. 如申請專利範圍第1項之電漿處理容器內構件,其 中該工程塑料係選自 PTFE、PI、PAI、PEI、PBI、PFA、 PPS及POM所成群之塑膠。 3. 如申請專利範圍第1或2項之電漿處理容器內構件 ,該主層係以至少1種選自 B4C、MgO、Al2〇3、SiC、 Si3N4、Si02、CaF2、Cr203 ' Y203、YF3、Zr02、Ta02、 Ce02、Ce203、CeF3及Nd203所成群之陶瓷所構成。 4. 一種電漿處理容器內構件,其特徵爲,具有基材與 其表面上所形成之被膜之電漿處理容器內構件, 該被膜係由包含至少1種屬於周期表第3a族元素之 陶瓷所形成,至少一部份之該被膜係在相對濕度90%以上 、100〜300 °C的環境下經水蒸氣的水合處理或沸騰水中之水 合處理。 5. —種電漿處理容器內構件,其特徵爲,具有基材與 1335609 « 其表面上所形成之被膜之電漿處理容器內構件, 該被膜係具有包含至少1種屬於周期表第3a族元素 之陶瓷所形成之第1陶瓷層,與包含至少1種屬於周期表 第3a族元素之陶瓷所形成之第2陶瓷層,該第1及第2 之陶瓷層的至少1者之至少一部份係經蒸氣所水合處理。 6. 如申請專利範圍第4項之電漿處理容器內構件,其 ' 中該被膜係經火焰噴塗所形成之火焰噴塗被膜、或以形成 φ 薄膜技術所形成之薄膜。 7. 如申請專利範圍第4項之電漿處理容器內構件,其 中構成該被膜之陶瓷係選自Y2〇3、Ce02、Ce203及Nd203 者。 8. —種電漿處理容器內構件,其特徵爲,具有基材與 ' 其表面上所形成之被膜之電漿處理容器內構件, ' 該被膜係具有包含至少1種屬於周期表第3a族元素 之陶瓷所形成之第1陶瓷層,與以陶瓷之火焰噴塗所形成 ® 之第2陶瓷層,至少一部份之該第1陶瓷層係經蒸氣所水 合處理。 9. 如申請專利範圍第8項之電漿處理容器內構件,其 中該第1陶瓷層係經火焰噴塗所形成之火焰噴塗被膜、或 以形成薄膜技術所形成之薄膜。 10. 如申請專利範圍第8項之電漿處理容器內構件, 其中構成該第1陶瓷層之陶瓷係選自Y2〇3、Ce〇2、Ce2〇3 及Nd2〇3者。 11. 如申請專利範圍第8項之電漿處理容器內構件, -2- 1335609 其中該第2陶瓷層係以至少l·種選自B4C、MgO、Al2〇3 、SiC、Si3N4、Si02、CaF2、Cr203、Y2O3、YF3、Zr02、 Ta02、Ce02、Ce203、CeF3及Nd203所成群之陶瓷所構成 ο 12. —種電漿處理容器內構件,其特徵爲,具有基材 與其表面上所形成之被膜之電漿處理容器內構件, · 該被膜係具有包含至少1種屬於周期表第3a族元素 · 之氫氧化物所形成之氫氧化物層。 φ 13. 如申請專利範圍第12項之電漿處理容器內構件, 其中該氫氧化物層係經火焰噴塗所形成之火焰噴塗被膜、 或以形成薄膜技術所形成之薄膜。 14. 如申請專利範圍第12項之電漿處理容器內構件, 其中構成該氫氧化物層之氫氧化物係選自 Y(OH) 3、Ce ‘ (OH ) 3 及 Nd ( OH ) 3 者。 . 15. 如申請專利範圍第12項之電漿處理容器內構件, 其中至少一部份之該氫氧化物層係經封孔處理。 馨 16. 如申請專利範圍第1、4、8、12項中任一項之電 漿處理容器內構件,其中該基材與該被膜之間,具有陽極 氧化被膜。 17. 如申請專利範圍第16項之電漿處理容器內構件, 其中該陽極氧化被膜係經金屬鹽水溶液而封孔處理。 18. 如申請專利範圍第16項之電漿處理容器內構件, 其中該陽極氧化被膜係經選自SI、PTFE、PI、PAI、PEI 、PBI及PFA所成群之樹脂而封孔處理。 -3- 1335609 19. —種電漿處理容器內構·件,其特徵爲,由包含至 少1種屬於周期表第3a族元素之陶瓷燒結體所形成,其 至少一部份係經蒸氣所水合處理。 20. 如申請專利範圍第19項之電漿處理容器內構件, 其中該陶瓷燒結體係將選自Y2〇3、Ce02、Ce203及Nd203 ' 之陶瓷水合處理者。 ' 21.—種電漿處理容器內構件,其特徵爲由含有包含 • 至少1種屬於周期表第3a族元素之氫氧化物之陶瓷燒結 體所形成。 22.如申請專利範圍第21項之電漿處理容器內構件, 其中該陶瓷燒結體所含之氫氧化物係選自 Y(OH)3、Ce (OH ) 3 及 Nd ( OH ) 3 者。
1335609 柒、(一)、本案指定代表圖為:第i圖 , (二)、本代表圖之元件代'表符號簡單說明:- 7 1 基材 72 被膜 73 主層 74 遮蔽被覆層
捌、本案若有化學式時,請揭示最能顯示發明特徵的化學 式:
-4-
TW92133602A 2002-11-28 2003-11-28 Internal member of a plasma processing vessel TW200423195A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002345855 2002-11-28

Publications (2)

Publication Number Publication Date
TW200423195A TW200423195A (en) 2004-11-01
TWI335609B true TWI335609B (zh) 2011-01-01

Family

ID=33307843

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92133602A TW200423195A (en) 2002-11-28 2003-11-28 Internal member of a plasma processing vessel

Country Status (5)

Country Link
US (3) US7780786B2 (zh)
JP (1) JP4987911B2 (zh)
KR (1) KR100772740B1 (zh)
CN (1) CN1249789C (zh)
TW (1) TW200423195A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI761907B (zh) * 2019-09-24 2022-04-21 大陸商中微半導體設備(上海)股份有限公司 用於等離子體處理裝置的零部件及其封孔方法、等離子體處理裝置及其工作方法
TWI781488B (zh) * 2019-12-18 2022-10-21 南韓商Psk有限公司 基板處理設備

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
JP4666576B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の洗浄方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
WO2006078585A2 (en) * 2005-01-18 2006-07-27 Asm America, Inc. Wafer support pin assembly
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20080028498A (ko) * 2005-08-22 2008-03-31 도카로 가부시키가이샤 열방사 특성 등이 우수한 용사 피막 피복 부재 및 그 제조방법
KR101021459B1 (ko) * 2005-08-22 2011-03-15 도카로 가부시키가이샤 내손상성 등이 우수한 용사 피막 피복 부재 및 그 제조방법
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7595271B2 (en) * 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
KR100819530B1 (ko) * 2006-03-03 2008-04-04 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭장치 및 플라즈마 처리실 내 부재의 형성방법
JP4643478B2 (ja) * 2006-03-20 2011-03-02 トーカロ株式会社 半導体加工装置用セラミック被覆部材の製造方法
JP5014656B2 (ja) * 2006-03-27 2012-08-29 国立大学法人東北大学 プラズマ処理装置用部材およびその製造方法
US20080105203A1 (en) * 2006-09-28 2008-05-08 Tokyo Electron Limited Component for substrate processing apparatus and method of forming film on the component
JP4895275B2 (ja) * 2006-09-28 2012-03-14 東京エレクトロン株式会社 基板処理装置用の部品及び皮膜形成方法
WO2008044555A1 (fr) * 2006-10-06 2008-04-17 Asahi Tech Co., Ltd. élément résistant à la corrosion et son procédé de fabrication
US7571893B2 (en) 2006-11-16 2009-08-11 Asm America, Inc. Valve with high temperature rating
US7906170B2 (en) * 2007-03-27 2011-03-15 Intel Corporation Apparatus, method, and system capable of producing a moveable magnetic field
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI695822B (zh) * 2007-04-27 2020-06-11 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
KR20100101641A (ko) * 2007-12-20 2010-09-17 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 정전 척 및 형성 방법
KR100872328B1 (ko) 2008-02-11 2008-12-05 주식회사 코미코 플라즈마 처리 장치 내부재 및 그 제조 방법
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5415853B2 (ja) 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
CN102473641B (zh) * 2009-08-04 2015-04-22 佳能安内华股份有限公司 热处理设备以及半导体装置制造方法
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8860424B1 (en) * 2011-03-10 2014-10-14 Solar Junction Corporation Apparatus and method for highly accelerated life testing of solar cells
JP5741921B2 (ja) * 2011-04-08 2015-07-01 株式会社日立国際電気 基板処理装置、基板処理装置に用いられる反応管の表面へのコーティング膜の形成方法、および、太陽電池の製造方法
US9129795B2 (en) * 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
KR101419707B1 (ko) * 2012-10-26 2014-07-16 한양대학교 산학협력단 정전분무 슬러리 증착 공정을 이용한 내플라즈마 세라믹층 형성방법 및 이를 이용한 리프트 핀의 제조방법
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
WO2014076829A1 (ja) * 2012-11-19 2014-05-22 株式会社 日立製作所 遮熱コーティング膜を有するガスタービン部材
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
SG10201709699RA (en) * 2013-05-23 2017-12-28 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
CN104241069B (zh) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 等离子体装置内具有氧化钇包覆层的部件及其制造方法
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9433070B2 (en) 2013-12-13 2016-08-30 Kla-Tencor Corporation Plasma cell with floating flange
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
KR101587793B1 (ko) * 2013-12-30 2016-01-22 주식회사 테스 히터 보호용 프로세스 키트 및 이를 이용한 챔버 세정방법
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
CN105295455B (zh) * 2014-06-30 2018-03-27 惠州市华俣实业有限公司 一种具有防水、防划伤和抗指纹特性的纳米水性涂料及其制备方法和用途
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10903055B2 (en) * 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
KR101797927B1 (ko) * 2016-06-01 2017-11-15 (주)브이앤아이솔루션 정전척
KR101775135B1 (ko) * 2016-06-01 2017-09-26 (주)브이앤아이솔루션 정전척의 제조방법
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR101694754B1 (ko) * 2016-09-08 2017-01-11 (주)브이앤아이솔루션 정전척 및 그 제조방법
KR101934985B1 (ko) * 2016-09-30 2019-01-04 세메스 주식회사 기판 처리 장치 및 이의 표면을 안정화시키는 방법
TWI721216B (zh) * 2016-10-13 2021-03-11 美商應用材料股份有限公司 用於電漿處理裝置中的腔室部件、包含其之裝置及製造其之方法
KR20180080429A (ko) * 2017-01-04 2018-07-12 한국세라믹기술원 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180240649A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Surface coating for plasma processing chamber components
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
JP6863107B2 (ja) 2017-06-13 2021-04-21 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体
JP7162047B2 (ja) 2017-07-14 2022-10-27 インフィコン・ホールディング・アーゲー 構成要素の表面から保護層を制御下で除去するための方法
TWI756475B (zh) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 抑制粒子產生之方法及真空裝置
JP7062777B2 (ja) * 2017-10-09 2022-05-06 ジーケイエヌ エアロスペース トランスパランシー システムズ インコーポレイテッド 陽極酸化物および希土類酸化物を含む、金属のための疎水性コーティングおよびその適用方法
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
JP7087830B2 (ja) * 2018-03-22 2022-06-21 日立金属株式会社 R-t-b系焼結磁石の製造方法
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
JP7097758B2 (ja) * 2018-06-21 2022-07-08 東京エレクトロン株式会社 シャワーヘッドおよびプラズマ処理装置
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
TWI741320B (zh) * 2018-07-18 2021-10-01 日商日本發條股份有限公司 電漿處理裝置用構件
CN109440052A (zh) * 2018-11-29 2019-03-08 沈阳富创精密设备有限公司 一种大气等离子体喷涂氧化钇涂层的复合涂层制备方法
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP6787438B2 (ja) * 2019-04-25 2020-11-18 栗田工業株式会社 アルミニウム又はアルミニウム合金の陽極酸化処理面の封孔処理方法
CN109944943A (zh) * 2019-04-28 2019-06-28 中微半导体设备(上海)股份有限公司 用于真空处理设备的密封装置和真空处理设备
KR20220075426A (ko) * 2019-10-10 2022-06-08 램 리써치 코포레이션 플라즈마 챔버 컴포넌트의 무기 코팅
CN112713072B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体处理腔室内部部件及其制造方法
CA3159063A1 (en) * 2019-11-21 2021-05-27 Duncan Bews Bi-layer protective coatings for metal components
CN110951458A (zh) * 2019-12-25 2020-04-03 连云港高品再生资源有限公司 一种纳米稀土研磨剂制备装置及其制备方法
CN113549863B (zh) * 2020-04-26 2022-10-11 中国兵器工业第五九研究所 耐磨超疏水基体防护涂层及其制备方法
CN113808898B (zh) * 2020-06-16 2023-12-29 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀零部件和反应装置及复合涂层形成方法
CN111763901A (zh) * 2020-07-03 2020-10-13 山东昌丰轮胎有限公司 一种带有防粘涂层的轮胎模具
US20230313381A1 (en) * 2020-09-09 2023-10-05 Mitsubishi Materials Corporation Plasma-resistant coating film, sol gel liquid for forming said film, method for forming plasma-resistant coating film, and substrate with plasma-resistant coating film
KR102447735B1 (ko) * 2020-11-16 2022-09-27 한국세라믹기술원 PCS 단섬유의 불융화 디바이스 및 이를 이용한 SiC 단섬유의 제조 방법
JP7234459B2 (ja) * 2020-12-24 2023-03-07 トーカロ株式会社 静電チャック及び処理装置
KR20230005107A (ko) * 2021-06-28 2023-01-09 주식회사 히타치하이테크 내벽 부재의 재생 방법
CN114015967A (zh) * 2021-11-09 2022-02-08 重庆臻宝实业有限公司 一种低孔隙率氧化钇涂层的制备方法
JP2024054628A (ja) * 2022-10-05 2024-04-17 日本発條株式会社 積層構造体および積層構造体の製造方法
US20240141488A1 (en) * 2022-10-27 2024-05-02 Applied Materials, Inc. Coated substrate support assembly for substrate processing in processing chambers
CN117265452B (zh) * 2023-11-22 2024-02-06 北京理工大学 一种水冷铜坩埚热屏蔽复合涂层及其制备方法

Family Cites Families (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
JPS63450Y2 (zh) 1980-03-26 1988-01-07
US4357387A (en) * 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS59159510A (ja) 1983-03-01 1984-09-10 Canon Inc 磁気光学記録媒体
US4485151A (en) * 1982-05-06 1984-11-27 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Thermal barrier coating system
JPS59186325U (ja) 1983-05-30 1984-12-11 松下電工株式会社 採光窓
JPS60141551A (ja) 1983-12-29 1985-07-26 ダイセル化学工業株式会社 高吸収性シ−ト
JPS618018A (ja) * 1984-06-21 1986-01-14 早川 哲夫 長波長赤外線によるフライヤ−
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
JPS61207566A (ja) 1985-03-12 1986-09-13 Showa Denko Kk セラミツク溶射皮膜形成方法
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS6267161U (zh) 1985-10-15 1987-04-25
JPH0611346Y2 (ja) 1986-06-30 1994-03-23 不二サッシ株式会社 内開き内倒し窓における内外障子の開閉装置
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
JPH0423551Y2 (zh) 1987-09-04 1992-06-02
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
JPH01120328U (zh) 1988-02-08 1989-08-15
JPH0730468B2 (ja) 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
JPH0254780A (ja) * 1988-08-18 1990-02-23 Nkk Corp セラミックス被覆鋼板
JPH0657396B2 (ja) 1989-02-17 1994-08-03 レンゴー株式会社 ロータリシャーの制御方法及び装置
JPH02267967A (ja) 1989-04-07 1990-11-01 Fuji Electric Co Ltd 半導体素子の製造方法
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04238882A (ja) 1991-01-10 1992-08-26 Denki Kagaku Kogyo Kk 高温絶縁物品
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JPH05117064A (ja) 1991-04-09 1993-05-14 Tokyo Electric Power Co Inc:The ガスタービン用翼およびその製造方法
JPH05121360A (ja) 1991-04-22 1993-05-18 Tokyo Electron Yamanashi Kk 半導体処理装置
JPH0570922A (ja) * 1991-08-09 1993-03-23 Koichi Moriya 複合材の無機化合物による封孔処理法
JPH05198532A (ja) 1992-01-22 1993-08-06 Hitachi Chem Co Ltd プラズマエッチング装置用電極板
JPH05238855A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
JPH05238859A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
JPH06224137A (ja) 1992-06-05 1994-08-12 Applied Materials Inc 腐食性化学物質と接触する石英窓表面に化学的耐食性の酸化アルミニウムの保護被膜を備えた集積回路構造プロセス装置
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
JPH06136505A (ja) 1992-10-26 1994-05-17 Sumitomo Metal Ind Ltd 溶射被覆構造
JPH06142822A (ja) 1992-11-09 1994-05-24 Kawasaki Steel Corp 高融点活性金属鋳造用鋳型の製造方法
JPH06196548A (ja) * 1992-12-24 1994-07-15 Sumitomo Metal Ind Ltd 静電チャック
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06256926A (ja) 1993-03-08 1994-09-13 Mitsubishi Heavy Ind Ltd 遮熱コーティング膜
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3236398B2 (ja) 1993-04-02 2001-12-10 株式会社フジクラ 溶射装置
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
JPH0758013A (ja) 1993-08-10 1995-03-03 Hitachi Ltd 半導体成膜装置
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07126827A (ja) 1993-10-28 1995-05-16 Nippon Alum Co Ltd 金属表面の複合皮膜及びその形成方法
JP3228644B2 (ja) 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JPH07226378A (ja) 1994-02-10 1995-08-22 Sony Corp 成膜方法およびこれに用いるプラズマ装置
JP3061346B2 (ja) 1994-03-07 2000-07-10 東京エレクトロン株式会社 処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
JPH0841309A (ja) 1994-07-28 1996-02-13 Hoechst Japan Ltd ドライエッチング装置用ポリベンゾイミダゾール系樹脂製物品
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3473121B2 (ja) 1994-09-14 2003-12-02 ソニー株式会社 プラズマcvd装置およびプラズマcvd方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
JP3420377B2 (ja) 1995-03-29 2003-06-23 京セラ株式会社 イットリウム−アルミニウム−ガーネット焼結体の製造方法
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) * 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JPH08339895A (ja) 1995-06-12 1996-12-24 Tokyo Electron Ltd プラズマ処理装置
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) * 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
JP2971369B2 (ja) 1995-08-31 1999-11-02 トーカロ株式会社 静電チャック部材およびその製造方法
JPH0975832A (ja) 1995-09-11 1997-03-25 Nittetsu Hard Kk 耐食耐摩耗性表面溶射層を有するボイラーチューブ
JPH09129634A (ja) * 1995-09-15 1997-05-16 Sharp Corp 半導体装置及び酸化イットリウムの堆積方法
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JP4226669B2 (ja) 1996-02-05 2009-02-18 株式会社東芝 耐熱部材
JP3035209B2 (ja) 1996-02-27 2000-04-24 三菱重工業株式会社 耐食性材料及びその製造方法
JPH09235662A (ja) * 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
JPH09298190A (ja) 1996-05-02 1997-11-18 Iwaki Coating Kogyo:Kk ドライエッチング装置用電極の製造方法
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3261044B2 (ja) 1996-07-31 2002-02-25 京セラ株式会社 プラズマプロセス装置用部材
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10130884A (ja) * 1996-10-25 1998-05-19 Nagayama Kogyosho:Kk 耐熱性陽極酸化皮膜の処理方法
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
JPH10214819A (ja) 1997-01-28 1998-08-11 Sumitomo Metal Ind Ltd プラズマエッチング用電極板
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JPH10226869A (ja) 1997-02-17 1998-08-25 Mitsui Eng & Shipbuild Co Ltd プラズマ溶射法
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JPH10277707A (ja) 1997-04-03 1998-10-20 Mishima Kosan Co Ltd 連続鋳造用鋳型に使用する鋳型片及びその製造方法
JPH111757A (ja) 1997-04-14 1999-01-06 Toshiba Ceramics Co Ltd 非酸化性雰囲気焼成用治具
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3705898B2 (ja) * 1997-06-27 2005-10-12 三菱アルミニウム株式会社 真空機器の表面処理アルミニウム構成部品及びその製造方法
JP3707229B2 (ja) * 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11207161A (ja) 1998-01-22 1999-08-03 Konica Corp 固体処理剤溶解装置
JP3350433B2 (ja) 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
JP4554815B2 (ja) 1998-03-31 2010-09-29 ラム リサーチ コーポレーション 汚染制御方法およびプラズマ処理チャンバ
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP3555442B2 (ja) 1998-04-24 2004-08-18 住友金属工業株式会社 プラズマ耐食性に優れたアルミナセラミックス材料およびその製造方法
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4136137B2 (ja) 1998-11-26 2008-08-20 東京エレクトロン株式会社 プラズマ処理装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6294261B1 (en) * 1999-10-01 2001-09-25 General Electric Company Method for smoothing the surface of a protective coating
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) * 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE50100469D1 (de) 2000-03-15 2003-09-11 Paul-Eric Preising Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP2002029742A (ja) * 2000-07-21 2002-01-29 Daiichi Kigensokagaku Kogyo Co Ltd 希土類金属酸化物粉末及びその製造方法
JP2002088462A (ja) 2000-09-14 2002-03-27 Nippon Steel Corp 封孔処理方法及び封孔処理された溶射皮膜とその皮膜を施したファンまたはブロワー
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (zh) 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
WO2002048428A1 (en) * 2000-12-12 2002-06-20 Konica Corporation Method for forming thin film, article having thin film, optical film, dielectric coated electrode, and plasma discharge processor
KR101005983B1 (ko) 2000-12-12 2011-01-05 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치의 재생 방법, 플라즈마 처리 용기의 내부 부재의 재생 방법, 및 플라즈마 처리 장치
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
JP2002228803A (ja) 2001-01-30 2002-08-14 Konica Corp 低反射積層体の製造方法及び低反射積層体
ATE404982T1 (de) 2001-02-07 2008-08-15 Hitachi Metals Ltd Verfahren zur herstellung einer metalllegierung für einen seltenerdmagneten auf eisenbasis
JP2002252209A (ja) * 2001-02-22 2002-09-06 Tokyo Electron Ltd プラズマエッチング装置
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) * 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
US6724140B2 (en) * 2001-09-21 2004-04-20 Fuji Photo Film Co., Ltd. Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6894769B2 (en) 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
JP2003321760A (ja) * 2003-05-19 2003-11-14 Tocalo Co Ltd プラズマ処理容器内部材およびその製造方法
US6853594B1 (en) * 2003-07-22 2005-02-08 Sun Microsystems, Inc. Double data rate (DDR) data strobe receiver
WO2007013184A1 (ja) 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI761907B (zh) * 2019-09-24 2022-04-21 大陸商中微半導體設備(上海)股份有限公司 用於等離子體處理裝置的零部件及其封孔方法、等離子體處理裝置及其工作方法
TWI781488B (zh) * 2019-12-18 2022-10-21 南韓商Psk有限公司 基板處理設備
US11862434B2 (en) 2019-12-18 2024-01-02 Psk Inc. Substrate processing apparatus

Also Published As

Publication number Publication date
KR100772740B1 (ko) 2007-11-01
JP2009185391A (ja) 2009-08-20
CN1249789C (zh) 2006-04-05
TW200423195A (en) 2004-11-01
US20130255881A1 (en) 2013-10-03
US8449715B2 (en) 2013-05-28
US8877002B2 (en) 2014-11-04
US20100307687A1 (en) 2010-12-09
CN1516535A (zh) 2004-07-28
JP4987911B2 (ja) 2012-08-01
KR20040048343A (ko) 2004-06-09
US7780786B2 (en) 2010-08-24
US20040216667A1 (en) 2004-11-04

Similar Documents

Publication Publication Date Title
TWI335609B (zh)
JP4503270B2 (ja) プラズマ処理容器内部材
KR100540051B1 (ko) 플라즈마 처리 장치, 링부재 및 플라즈마 처리 방법
JP4486372B2 (ja) プラズマ処理装置
TWI683888B (zh) 塗佈有經氟退火膜之物品
KR101482505B1 (ko) 플라즈마 챔버 재료로서의 산화이트륨의 수명 연장
JP6312278B2 (ja) 半導体チャンバ構成要素のための放射率を調節したコーティング
TW201726975A (zh) 耐電漿塗膜及其形成方法
JP7282678B2 (ja) フルオロアニーリング膜でコーティングされた物品
JP5537001B2 (ja) 表面処理セラミックス部材、その製造方法および真空処理装置
TW200425318A (en) A barrier layer for a processing element and a method of forming the same
CN104241069A (zh) 等离子体装置内具有氧化钇包覆层的部件及其制造方法
TW202231899A (zh) 塗佈抗腐蝕金屬氟化物的製品、其製備方法及使用方法
JP2002083861A (ja) 真空処理装置用部材および静電チャック
JP2004292882A (ja) 耐食性部材とその製造方法およびそれを用いた半導体・液晶製造装置
KR20150000384U (ko) 내부식성 코팅된 반도체 제조 부품
KR101723931B1 (ko) 그래눌 형태의 세라믹 커버링층이 증착된 표면처리 제품
WO2022108888A1 (en) Articles coated with crack-resistant fluoro-annealed films and methods of making
KR20170043085A (ko) 그래눌 형태의 세라믹 커버링층 형성 방법

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent