JP6544902B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP6544902B2
JP6544902B2 JP2014190252A JP2014190252A JP6544902B2 JP 6544902 B2 JP6544902 B2 JP 6544902B2 JP 2014190252 A JP2014190252 A JP 2014190252A JP 2014190252 A JP2014190252 A JP 2014190252A JP 6544902 B2 JP6544902 B2 JP 6544902B2
Authority
JP
Japan
Prior art keywords
region
plasma processing
particles
area
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014190252A
Other languages
English (en)
Other versions
JP2016063083A (ja
JP2016063083A5 (ja
Inventor
先崎 滋
滋 先崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014190252A priority Critical patent/JP6544902B2/ja
Priority to KR1020150118717A priority patent/KR102316260B1/ko
Priority to US14/848,461 priority patent/US20160086773A1/en
Priority to TW104130015A priority patent/TWI662585B/zh
Publication of JP2016063083A publication Critical patent/JP2016063083A/ja
Publication of JP2016063083A5 publication Critical patent/JP2016063083A5/ja
Application granted granted Critical
Publication of JP6544902B2 publication Critical patent/JP6544902B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、プラズマ処理装置に関する。
プラズマ処理を行う反応容器の内部にガスを導入するとともに、高周波電力を印加してガスからプラズマを生成し、半導体ウェハ(以下、単に「ウェハ」という。)にプラズマ処理を行うプラズマ処理装置が知られている。プラズマ処理中、生成されたプラズマの粒子が反応容器の内壁に衝突することで、パーティクルが発生することがある。このパーティクルが、プラズマ処理中にウェハ上に飛来すると、ウェハ上に形成された配線間をショートさせる等の問題が生じ、歩留まりに悪影響を与える。そこで、パーティクルを抑制する技術が提案されている(例えば、特許文献1を参照)。
特開平8−124912号公報 特開2006−303309号公報
しかしながら、近時、ウェハの微細加工が進んでいる。その結果、例えば10nm以下のパターンを形成するプロセスでは、0.035μm程度の微細なパーティクルであっても、配線間をショートさせる等の理由により歩留まりに悪影響を与えることになる。よって、これまで問題にならなかった0.035μm以下の微小なパーティクルに対しても10nm以下のプロセスでは対策が必要となる。
パーティクル対策の一つとして、パーティクルにならない材料で反応容器の内壁のグラウンド面を覆うことが考えられる。しかし、この場合、被覆する材料が石英等の絶縁材であるとプラズマが安定しなくなり、プラズマの均一性が低下する。また、被覆する材料がシリコンなどの導電体ではコストに懸念点がある。
上記課題に対して、一側面では、本発明は、プラズマを安定させつつ、載置台に載置される基板の表面の高さ以上へのパーティクルの拡散を抑制することを目的とする。
上記課題を解決するために、一の態様によれば、プラズマ処理を行う反応容器の内部にガスを導入し、該反応容器に電磁波のエネルギーを印加して前記ガスからプラズマを生成し、基板にプラズマ処理を行うプラズマ処理装置であって、前記反応容器の内部に基板を載置する載置台を有し、前記反応容器には、プラズマが生成される領域Aと、排気領域Exと、前記領域Aと前記排気領域Exとの間の領域であってプラズマが生成される領域Bとが形成され、前記反応容器の内壁のうち前記領域Aと接する部分は気化材で形成され、前記領域B内の粒子が前記領域A内の粒子と比較して移動速度が大きくなるように、前記載置台の基板の表面よりも下流側に、気化材により形成された複数枚の仕切部材を前記領域Aと前記領域Bとを仕切るように配置し、前記領域Bに存在するパーティクルが前記領域Aに飛散しないようにする、プラズマ処理装置が提供される。
一の側面によれば、プラズマを安定させつつ、載置台に載置される基板の表面の高さ以上へのパーティクルの拡散を抑制することができる。
一実施形態に係るプラズマ処理装置の縦断面を示す図。 一実施形態に係る仕切部材とパーティクルの飛来との関係を示す図。 一実施形態に係る仕切部材がある場合のパーティクル数の一例を示す図。 一実施形態に係る仕切部材がある場合とない場合の移動速度の一例を示す図。 一実施形態に係るプラズマ処理装置の内部の等価回路の一例を示す図。 一実施形態に係る仕切部材のパターンとAC比を示す図。
以下、本発明を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。
[プラズマ処理装置の全体構成]
まず、本発明の一実施形態に係るプラズマ処理装置1の全体構成について、図1を参照しながら説明する。本実施形態では、反応容器10の内部に下部電極(載置台20)と上部電極25(シャワーヘッド)とを対向配置し、上部電極25からガスを反応容器10の内部に供給する平行平板型のプラズマ処理装置1を例に挙げて説明する。
プラズマ処理装置1は、例えば表面がアルマイト処理(陽極酸化処理)されたアルミニウム等の導電性材料からなる反応容器10及び反応容器10内にガスを供給するガス供給源15を有する。反応容器10は、接地されている。ガス供給源15は、エッチング、クリーニング等のプラズマ処理工程毎に特定されたガスを供給する。
反応容器10は電気的に接地されており、反応容器10の内部にはウェハWを載置する載置台20を有する。ウェハWは、プラズマ処理対象である基板の一例である。載置台20は下部電極としても機能する。載置台20に対向した天井部には、上部電極25が設けられている。
載置台20の上面には、ウェハWを静電吸着するための静電チャック106が設けられている。静電チャック106は、絶縁体106bの間にチャック電極106aを挟み込んだ構造となっている。チャック電極106aには直流電圧源112が接続され、直流電圧源112から電極106aに直流電圧が印加されることにより、クーロン力によってウェハWが静電チャック106に吸着される。静電チャック106の周縁部には、エッチングの面内均一性を高めるために、例えばシリコンから構成されたフォーカスリング101が配置されている。
載置台20は、支持体104により支持されている。支持体104の内部には、冷媒流路104aが形成されている。冷媒流路104aには、適宜冷媒として例えば冷却水等が循環される。
伝熱ガス供給源85は、ヘリウムガス(He)やアルゴンガス(Ar)等の伝熱ガスをガス供給ライン130に通して静電チャック106上のウェハWの裏面に供給する。かかる構成により、静電チャック106は、冷媒流路104aに循環させる冷却水と、ウェハWの裏面に供給する伝熱ガスとによって温度制御される。
載置台20は、保持部材103を介して支持部材105に支持されている。
下部電極(載置台20)には、第1周波数の第1高周波電力(プラズマ生起用高周波電力)を供給する第1高周波電源32と、第1周波数よりも低い第2周波数の第2高周波電力(バイアス電圧発生用高周波電力)を供給する第2高周波電源35が接続される。第1高周波電源32は、第1整合器33を介して下部電極20に電気的に接続される。第2高周波電源35は、第2整合器34を介して下部電極20に電気的に接続される。第1高周波電源32は、例えば、40MHzの第1高周波電力を供給する。第2高周波電源35は、例えば、3.2MHzの第2高周波電力を供給する。
第1及び第2整合器33、34は、それぞれ第1及び第2高周波電源32、35の内部(または出力)インピーダンスに負荷インピーダンスを整合させるためのものであり、反応容器1010内にプラズマが生成されているときに第1、第2高周波電源32、35の内部インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。
第1及び第2高周波電源32、35は、反応容器10に電磁波のエネルギーを印加する電源の一例である。反応容器10に電磁波のエネルギーを印加する電源の他の例としては、マイクロ波が挙げられる。
上部電極25は、その周縁部を被覆するシールドリング40を介して反応容器10の天井部に取り付けられている。上部電極25は、電気的に接地されている。
上部電極25には、ガス供給源15からガスを導入するためのガス導入口45が形成されている。また、上部電極25の内部にはガス導入口45から分岐してガスを拡散するセンター側の拡散室50a及びエッジ側の拡散室50bが設けられている。
上部電極25には、拡散室50a、50bからのガスを反応容器10内に供給する多数のガス供給孔55が形成されている。各ガス供給孔55は、下部電極に載置されたウェハWと上部電極25との間にガスを供給できるように配置されている。
ガス供給源15からのガスはガス導入口45を介して拡散室50a、50bに供給され、ここで拡散して各ガス供給孔55に分配され、ガス供給孔55から下部電極に向けて導入される。かかる構成により、上部電極25は、ガスを供給するガスシャワーヘッドとしても機能する。
反応容器10の底部には、排気口61を形成する排気管60が配設されている。排気管60には排気装置65が接続されている。排気装置65は、ターボ分子ポンプやドライポンプ等の真空ポンプから構成され、反応容器10内の処理空間を所定の真空度まで減圧するとともに、反応容器10内のガスを排気路62及び排気口61に導き、外部に排気する。排気路62にはガスの流れを制御するためのバッフル板108が取り付けられている。
反応容器10の側壁にはゲートバルブGが設けられている。ゲートバルブGは、反応容器10からウェハWの搬入及び搬出を行う際に搬出入口を開閉する。
かかる構成のプラズマ処理装置1によって、ウェハWにプラズマ処理が施される。例えば、エッチング処理が行われる場合、まず、ゲートバルブGの開閉が制御され、ウェハWが反応容器10に搬入され、載置台20に載置される。次いで、エッチング用のガスが導入され、第1及び第2の高周波電力が下部電極に供給され、プラズマが生成される。生成されたプラズマによりウェハWにプラズマエッチング等の所望の処理が施される。処理後、ゲートバルブGの開閉が制御され、ウェハWが反応容器10から搬出される。
(仕切部材)
フォーカスリング101の外周側には、載置台20の側壁と反応容器10の側壁との間にて、2枚の仕切部材201,202が設けられている。2枚の仕切部材201,202は、パーティクルにならない材料(以下、「気化材」という。)から形成されている。気化材とは、プラズマの反応により生成された反応生成物が気化して排気可能な性質の部材をいう。つまり、気化材は、プラズマの作用により剥がれて反応生成物に混入する。その際の反応生成物は、揮発性の物質を有し、反応容器10の内壁に堆積されることなく外部に排気可能である。このように、気化材は、パーティクルとならない材料から構成されている。気化材の一例としては、シリコン(Si)、石英、炭化ケイ素(SiC)、炭素(C)が挙げられる。
2枚の仕切部材201,202は、異なる材料又は異なる特性の材料から構成されてもよいし、同一の材料又は同一の特性の材料から構成されてもよい。例えば、仕切部材201,202は、いずれもが絶縁性の材質で構成されるか、いずれもが導電性の材質で構成されるか、又は一方が絶縁性の材質であって他方が導電性の材質で構成されてもよい。一例としては、本実施形態にかかるプラズマ処理装置1のように、2枚の仕切部材201,202は、いずれもシリコンから形成されてもよい。また、2枚の仕切部材201,202は、いずれも石英から形成されてもよいし、一方が石英から形成され他方がシリコンから形成されてもよい。
仕切部材201,202は、載置台20に載置されたウェハWの上面よりも下流側に配置される。仕切部材201,202は、リング状の平板である。仕切部材201は、反応容器10の側壁102のウェハWの上面よりも下流側の位置にて反応容器10に設けられている。また、仕切部材202は、フォーカスリング101の側面又は底面の位置に設けられている。仕切部材201,202の設置方法としては、仕切部材201,202に隣接した部材にねじ止めする、接着する、仕切部材201,202を平置きする等方法が挙げられる。
本実施形態では、仕切部材201,202は、フォーカスリング101の外周側に配置されているが、ウェハWの上面よりも下流側であってバッフル板108よりも上流側のいずれかの位置にて、2枚の仕切部材201,202が後述される領域Bを通過するガスを絞る効果が得られる程の距離(以下、「所定の距離」という。)を離して配置されることができる。
本実施形態では、仕切部材201は、仕切部材202に対して外側に位置する。仕切部材202は、仕切部材201と所定の間隔を設けて下流側に位置し、仕切部材201に対して内側から水平方向に伸長し、一部が仕切部材201と対向する位置まで伸びている。つまり、仕切部材201と仕切部材202とは、平面視で一部がオーバーラップするように配置されている。バッフル板108は、仕切部材201,202の下流側に位置する。
仕切部材201と仕切部材202との配置位置は、逆であってもよい。つまり、仕切部材201は、仕切部材202に対して内側に位置し、ウェハWの上面よりも下流側であって仕切部材202よりも上流側に配置されてもよい。この場合においても、仕切部材201,202は、平面視で一部がオーバーラップする位置まで互いに伸長することが好ましい。
かかる構成によれば、仕切部材201,202により、反応容器10の上下の空間が仕切られる。つまり、本実施形態にかかるプラズマ処理装置1では、反応容器10の内部は、仕切部材201と仕切部材202とにより、ウェハW及び載置台20の上面と上部電極25の下面(天井面)との間の空間と、反応容器10の底面側の排気空間とに仕切られる。ウェハW及び載置台20の上面と上部電極25の下面(天井面)との間の空間を、以下、「領域A」という。仕切部材201と仕切部材202とにより仕切られた空間を、以下、「領域B」という。領域A及び領域Bは、プラズマが生成される空間である。また、バッフル板108で区切られた排気路62のバッフル板108よりも上の空間であって、仕切部材202にて領域Bと仕切られた排気空間を、以下、「排気領域Ex」という。
反応容器10の内壁のうち領域Aと接する部分は、気化材により形成されている。具体的には、領域Aと接する反応容器10の天井面は、シリコンの板で形成された気化材100で覆われている。気化材100は、上部電極25の下面と接触した状態で上部電極25に固定されている。
また、反応容器10の仕切部材201の上面より上の壁面からシリコンの板100の外周部までは、石英の気化材109で覆われている。このようにプラズマが生成される領域Aの周辺を、パーティクルとならない材料の気化材100、109で覆うことにより、領域Aの内部でパーティクルが発生することを防止できる。
本実施形態では、反応容器10の側壁102のうち領域B及び排気領域Exと接する部分は、イットリア(Y)を含む溶射膜107で覆われている。また、載置台20の側壁のうち排気領域Exと接する部分もイットリアを含む溶射膜107で覆われている。具体的には、バッフル板108より上であって仕切部材201よりも下の領域にて酸化イットリウム(Y)又はフッ化イットリウム(YF)の溶射膜107が形成される。これらの領域に耐プラズマ性が高いイットリアを含む溶射膜107を形成することにより、反応容器10の壁面のプラズマ耐性を高くし、パーティクルの発生を最小限に抑える。なお、本実施形態ではイットリアの溶射膜107を用いているが、当該溶射膜はアルマイトやハフマイト等の酸化金属を含む材質で形成される被膜であってもよい。
本実施形態では、2枚の仕切部材201、202が互いに異なる方向から水平方向に所定の間隔を置いて伸長し、上下に配置される例を示したがこれに限らない。例えば、3枚又はそれ以上の枚数の仕切部材が配置されてもよい。複数枚の仕切部材は、各仕切部材により仕切られた内部空間が蛇行するように交互に配置されることが好ましい。
複数の仕切り部材の配置は、上記の配置以外であってもよいが、仕切部材201又は仕切部材202が、領域Bに存在するパーティクルの反跳が領域Aに進入することを抑えるように一部がオーバーラップするように配置されることが好ましい。
図2の左図に示すように、プラズマの粒子Q(イオンなど)が反応容器10の内壁面に衝突すると、その物理的な衝突の力により内壁の表面の物質が剥がれ、パーティクルRとなって反応容器10の内部に飛来する。物質は、イットリアを含む溶射膜107から飛び出したものであるため、図2の左図のパーティクルRにはイットリアが含まれる。
図2の左図に示すように、パーティクルRが飛来する際に向かう方向は、反応容器10内のガスの下向きの流れや重力に影響を受けて変化する。また、図2の右図に示すように、領域Aの方向に向かうパーティクルRは、仕切部材201又は仕切部材202により跳ね返る。これにより、領域Bに存在するパーティクルRが領域Aに飛散しないようにすることができる。この結果、領域Bに存在するパーティクルRは、排気領域Exを通って反応容器10の外部に排気される。
[効果の例]
図3は、本実施形態に係る2枚の仕切部材201、202が設けられたプラズマ処理装置1と、仕切部材が設けられていないプラズマ処理装置とを用いてプラズマ処理を実行した結果、ウェハW上に飛来したパーティクルのうちのY成分を示したものである。この結果によれば、2枚の仕切部材201、202が設けられたプラズマ処理装置1を用いてプラズマ処理を実行した結果、ウェハW上に飛来したパーティクルのうちのYのコンタミネーションは、「8.2×1010(atoms/cm2)」であった。
これに対して、仕切部材が設けられていないこと以外はプラズマ処理装置1と同一構成のプラズマ処理装置を用いてプラズマ処理を実行した結果、ウェハW上に飛来したパーティクルのうちのYのコンタミネーションは、「57×1010(atoms/cm2)」であった。この結果から、2枚の仕切部材201、202が設けられたプラズマ処理装置1では、仕切部材が設けられていないプラズマ処理装置と比べてパーティクルのうちのYのコンタミネーションの数を1/7に減らすことができた。
領域Aが気化材100,109で覆われ、領域Aではパーティクルが発生しないことを考慮すると、上記の結果、ウェハWに存在したYのコンタミネーション「8.2×1010(atoms/cm2)」は、排気領域Exから飛来したものと考えられる。よって、本実施形態に係るプラズマ処理装置1では、反応容器10の壁面から生じるパーティクルがウェハWに飛来する経路を仕切部材201、202により遮断する効果が高くなるように仕切部材201、202を配置する。
図4の(a)は、仕切部材201、202による効果として領域B及び排気領域Ex内の移動速度の一例を示す。図4の(b)は、仕切部材201、202がない場合の領域B及び排気領域Exに相当する領域内の移動速度を示す。前述のとおり、反応容器10の壁面から剥がれたパーティクルは、重力やガスの流れに逆らってウェハW上に飛来する。よって、図4の(a)に示すように、仕切部材201、202を設けることで絞られた領域Bでの粒子の移動速度を排気領域Exで生じる移動速度Vの1.5倍〜2倍の移動速度にすることで、ウェハW上まで飛来するパーティクルの数を減らすことができる。
なお、図4の(b)に示すように、仕切部材201、202がない場合、領域Bに相当する領域での粒子の移動速度は、排気領域Exに相当する領域で生じる移動速度Vの1.2倍の移動速度となる。この結果から、仕切部材201、202がある場合にはウェハW上までパーティクルが飛来することを効果的に抑制することができることがわかる。
本実施形態にかかるプラズマ処理装置1では、ウェハWをプロセス中にパーティクルの影響が最も大きい領域Aは、シリコンや石英などの気化材100,109で覆ってパーティクルの発生を防止する。一方、領域B及び排気領域Exは、コストや後述される問題等によりシリコンや石英などを使用せず、イットリアを含む溶射膜107又はアルマイト、ハフマイト等の酸化金属を含む材質で覆い耐プラズマ性を高めてパーティクルの発生を最小限に抑える。
更に、以上に説明したように、領域Aと排気領域Exとの間に仕切部材201,202を設けることで領域Bの空間を形成できる。これにより、従来のプラズマ処理装置と比べてパーティクルのうちの、特に領域B内のイットリアのパーティクルによる領域Aの汚染を防止することができる。
近時、基板の微細加工が進んでおり、例えば10nm以下のパターンを形成するプロセスでは、これまで問題にならなかった0.035μm程度の微細なパーティクルであっても歩留まりに影響を与える。よって、10nm以下のパターンを形成するプロセスを行うためには、これまで問題にならなかった微小なパーティクルに対しても対策が必要となる。特に、イットリア等の金属は、配線間をショートさせる等の理由により歩留まりに悪影響を与える。そこで、本実施形態では、応容器10の内壁のうち領域Aを気化材100,109で覆い、かつ領域Bに仕切部材201、202を設けることで、載置台20に載置されたウェハWにプラズマ処理を施す際にウェハW上に飛来するパーティクルの数を極少数まで減らすことができる。
[AC比による効果]
本実施形態では、アノード/カソード比(以下、「AC比」という。)を所定の値の範囲となるように仕切部材201、202の材質を選定し、さらなるパーティクルの低減を達成する。
壁の削れを防ぐためには、AC比を大きくすればよい。AC比は、アノード電極及びカソード電極間の非対称性を示し、アノード側の電圧Va(高周波電圧)及びカソード側の電圧Vc(高周波電圧)は、アノード側の容量Ca及びカソード側の容量Ccにより容量的に配分される。具体的には、アノード側の電圧Vaとカソード側の電圧Vcとの比は、以下の式(1)のように示される。
AC比=Ca/Cc=Vc/Va・・・(1)
AC比は、カソード側の容量Caに対するアノード側の容量Ccであり、カソード側の面積に対する側の面積で表すことができる。よって、カソード側の面積に対してアノード側の面積を大きくし、AC比を大きくすれば、アノード側の電圧Vaを低く抑え、アノード側の反応容器10の壁面へのスパッタ力を減らし、イットリアのパーティクルの発生を低減できる。
図5は、生成されたプラズマに対してアノード側の容量Caとカソード側の容量Ccとを示した等価回路である。カソード側の容量Ccは、載置台20にて発生する容量Cセラミックと、その表面のシース容量Csheath1の合計である。
アノード側の容量Caは、上部電極25にて発生する容量Cアルマイトと、シリコンの気化材100の表面のシース容量Csheath2と、石英の気化材109にて発生する容量C石英と、気化材100の表面のシース容量Csheath3と、イットリアを含む溶射膜107にて発生する容量CY溶射と、溶射膜107の表面のシース容量Csheath4と、仕切部材201、202にて発生する容量Cアルマイトと、仕切部材201、202の表面のシース容量Csheath5の合計である。
このように、本実施形態では、グラウンド面を形成する仕切部材201、202が設けられることにより、アノード側の容量に、仕切部材201、202にて発生する容量Cアルマイトと、仕切部材201、202のシース容量Csheath5とが加わる。これにより、AC比を大きくすることができる。この結果、アノード側のシース電圧を効果的に低く抑え、スパッタ力を減らし、イットリアのパーティクルの発生を低減できる。
以上に説明したように、本実施形態にかかるプラズマ処理装置1では、載置台20に載置されるウェハWの表面の高さよりも上側(領域A)には、パーティクルにならない気化材100、109を使用することによってパーティクルの発生及び拡散を防止する。
一方、載置台20に載置されるウェハWの表面の高さよりも下側は、気化材100,109よりも値段が安い材料としてイットリアを含む溶射膜107を使用する。その上で、パーティクルがウェハWの上面まで拡散しないように仕切部材201、202を配置する。これにより、パーティクルの拡散防止及びコストの低減を図ることができる。
更に、本実施形態にかかるプラズマ処理装置1では、仕切部材201、202に導電体のシリコンを使用することでAC比を大きくすることができ、プラズマを安定させることができる。
[仕切部材の材質とAC比]
仕切部材201、202にシリコンなどの導電体を使用した場合、石英等の絶縁体に比べてコスト面で懸念がある。一方、反応容器10の壁面をバッフル板108の周辺まで石英で覆うとAC比が小さくなる。AC比が小さくなると、カソード側に載置されたウェハWへのイオンの叩き込みが小さくなることや、プラズマが着火し難くなる。よって、天井部はシリコンの気化材100を使い、側壁には石英の気化材109を使うことで、製造コストを抑えながらAC比を大きくすることが好ましい。
AC比を大きくすることにより、カソード側に載置されたウェハWへのイオンの叩き込みが大きくなる。また、プラズマが着火し易くなる。更に、アノード側の壁面等へのイオンの叩き込みが小さくなることで、パーティクルの発生を更に少なくすることができる。特に、イットリアのパーティクルの発生を抑制することで反応容器10内の金属汚染を防止し、10nm以下のプロセスの歩留まりを良好にすることができる。
このような効果を得ることができるプラズマ処理装置1において、仕切部材201、202の材質をシリコン又は石英に変えた場合にAC比がどの程度変化するかの検討を行った。この結果を図6に示す。以下、イットリアを含む溶射膜107についてはアルマイト、ハフマイト等の酸化金属を含む材質で形成することもできる。
図6のパターン1は、仕切部材がなく、本実施形態の領域B及び排気領域Exに対応する部分が、イットリアを含む溶射膜107で覆われているパターンである。図6のパターン2は、仕切部材がなく、本実施形態の領域B及び排気領域Exに対応する部分が、石英の気化材109で覆われているパターンである。
図6のパターン3は、本実施形態のパターンである。つまり、仕切部材201、202があり、領域B及び排気領域Exの部分が、イットリアを含む溶射膜107で覆われているパターンである。仕切部材201、202は、シリコンで形成されている。
図6のパターン4は、本実施形態のパターンに類似したパターンである。つまり、仕切部材201、203があり、領域B及び排気領域Exの部分が、イットリアを含む溶射膜107で覆われているパターンである。上部の仕切部材201はシリコン、下部の仕切部材203は、石英で形成されている。
図6のパターン5は、パターン4に類似したパターンである。つまり、仕切部材203、204があり、領域B及び排気領域Exの部分が、イットリアを含む溶射膜107で覆われているパターンである。上部、下部の仕切部材203,204は共に石英で形成されている。
これによれば、パターン1のAC比は「4.9」、パターン2のAC比は「4.0」、パターン3のAC比は「7.6」、パターン4のAC比は「6.5」、パターン5のAC比は「4.8」であった。よって、仕切部材にシリコンを使用すると、AC比が大きくなり、イットリアのパーティクルが最も低減できることがわかった。また、仕切部材の一方がシリコン、他方が石英で形成されている場合にも、仕切部材の両方がシリコンで形成されている場合よりはAC比が低いものの、パターン1,2,5よりはAC比が大きくなり、イットリアのパーティクルが低減できることがわかった。
以上に説明したように、本実施形態に係るプラズマ処理装置1によれば、シリコン等で形成された仕切部材201,202により、プラズマを安定させつつ、載置台20に載置されたウェハWの表面の高さ以上にパーティクルが拡散することを防止することができる。
特に、本実施形態に係るプラズマ処理装置1によれば、イットリアのパーティクルを従来の1/7程度に低減できる。これにより、10nm以下のプロセスにおいて問題になると考えられる、0.035μm程度の微小なイットリアのパーティクルに対しても歩留まりの低下を防ぐ対策とすることができる。
なお、本実施形態に係るプラズマ処理装置1は、仕切部材201,202が設けられていないプラズマ処理装置において使用した圧力領域でプラズマ処理を行うことができることがPQ特性比較の結果、確認されている。
以上、プラズマ処理装置を上記実施形態により説明したが、本発明にかかるプラズマ処理装置は上記実施形態に限定されるものではなく、本発明の範囲内で種々の変形及び改良が可能である。上記複数の実施形態に記載された事項は、矛盾しない範囲で組み合わせることができる。
例えば、本発明に係るプラズマ処理装置は、容量結合型プラズマ(CCP:Capacitively Coupled Plasma)装置だけでなく、その他のプラズマ処理装置に適用可能である。その他のプラズマ処理装置としては、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)、ラジアルラインスロットアンテナを用いたCVD(Chemical Vapor Deposition)装置、ヘリコン波励起型プラズマ(HWP:Helicon Wave Plasma)装置、電子サイクロトロン共鳴プラズマ(ECR:Electron Cyclotron Resonance Plasma)装置等が挙げられる。
また、本発明にかかるプラズマ処理装置により処理される基板は、ウェハに限られず、例えば、フラットパネルディスプレイ(Flat Panel Display)用の大型基板、EL素子又は太陽電池用の基板であってもよい。
1:プラズマ処理装置
10:反応容器
20:載置台(下部電極)
25:上部電極
65:排気装置
100、109:気化材
101:フォーカスリング
106:静電チャック
107:イットリアの溶射膜
108:バッフル板
201、202:仕切部材(シリコン)
203、204:仕切部材(石英)
A:プラズマが生成される領域
B:プラズマが生成される領域
Ex:排気領域

Claims (8)

  1. プラズマ処理を行う反応容器の内部にガスを導入し、該反応容器に電磁波のエネルギーを印加して前記ガスからプラズマを生成し、基板にプラズマ処理を行うプラズマ処理装置であって、
    前記反応容器の内部に基板を載置する載置台を有し、
    前記反応容器には、プラズマが生成される領域Aと、排気領域Exと、前記領域Aと前記排気領域Exとの間の領域であってプラズマが生成される領域Bとが形成され、
    前記反応容器の内壁のうち前記領域Aと接する部分は気化材で形成され、前記領域Aの側壁部の気化材は石英からなり、
    前記領域B内の粒子が前記領域A内の粒子と比較して移動速度が大きくなるように、前記載置台の基板の表面よりも下流側に、気化材により形成された複数枚の仕切部材を前記領域Aと前記領域Bとを仕切るように配置し、前記領域Bに存在するパーティクルが前記領域Aに飛散しないようにし、
    前記領域Bは、イットリアを含む材料で覆われている、
    プラズマ処理装置。
  2. 前記領域Aの天井部の気化材はシリコン又は石英からなる、
    請求項1に記載のプラズマ処理装置。
  3. プラズマ処理を行う反応容器の内部にガスを導入し、該反応容器に電磁波のエネルギーを印加して前記ガスからプラズマを生成し、基板にプラズマ処理を行うプラズマ処理装置であって、
    前記反応容器の内部に基板を載置する載置台を有し、
    前記反応容器には、プラズマが生成される領域Aと、排気領域Exと、前記領域Aと前記排気領域Exとの間の領域であってプラズマが生成される領域Bとが形成され、
    前記反応容器の内壁のうち前記領域Aと接する部分は気化材で形成され、前記気化材はシリコンであり、
    前記領域B内の粒子が前記領域A内の粒子と比較して移動速度が大きくなるように、前記載置台の基板の表面よりも下流側に、気化材により形成された複数枚の仕切部材を前記領域Aと前記領域Bとを仕切るように配置し、前記領域Bに存在するパーティクルが前記領域Aに飛散しないようにし、
    前記領域Bは、イットリアを含む材料で覆われている、
    プラズマ処理装置。
  4. 前記複数枚の仕切部材のうち、前記領域Aと接する仕切部材は、前記領域Aの側壁部の気化材と同一の気化材からなる、
    請求項1〜3のいずれか一項に記載のプラズマ処理装置。
  5. 前記領域Bの粒子の移動速度は、前記領域Aの粒子の移動速度の1.5倍〜2倍である、
    請求項1〜4のいずれか一項に記載のプラズマ処理装置。
  6. 前記複数枚の仕切部材は、
    前記領域Bに存在するパーティクルの反跳が前記領域Aに進入することを防ぐ位置に配置される、
    請求項1〜5のいずれか一項に記載のプラズマ処理装置。
  7. 前記複数枚の仕切部材は、2枚の平板であって、
    前記複数枚の仕切部材のいずれもが絶縁性の材質で構成されるか、いずれもが導電性の材質で構成されるか、又は一方が絶縁性の材質であって他方が導電性の材質で構成される、
    請求項1〜6のいずれか一項に記載のプラズマ処理装置。
  8. アノード/カソード(AC)比が所定の範囲以内となるように前記複数枚の仕切部材が配置される、
    請求項7に記載のプラズマ処理装置。
JP2014190252A 2014-09-18 2014-09-18 プラズマ処理装置 Active JP6544902B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2014190252A JP6544902B2 (ja) 2014-09-18 2014-09-18 プラズマ処理装置
KR1020150118717A KR102316260B1 (ko) 2014-09-18 2015-08-24 플라즈마 처리 장치
US14/848,461 US20160086773A1 (en) 2014-09-18 2015-09-09 Plasma processing apparatus
TW104130015A TWI662585B (zh) 2014-09-18 2015-09-11 電漿處理裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014190252A JP6544902B2 (ja) 2014-09-18 2014-09-18 プラズマ処理装置

Publications (3)

Publication Number Publication Date
JP2016063083A JP2016063083A (ja) 2016-04-25
JP2016063083A5 JP2016063083A5 (ja) 2018-07-26
JP6544902B2 true JP6544902B2 (ja) 2019-07-17

Family

ID=55526399

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014190252A Active JP6544902B2 (ja) 2014-09-18 2014-09-18 プラズマ処理装置

Country Status (4)

Country Link
US (1) US20160086773A1 (ja)
JP (1) JP6544902B2 (ja)
KR (1) KR102316260B1 (ja)
TW (1) TWI662585B (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108573981B (zh) * 2017-03-10 2021-12-03 京东方科技集团股份有限公司 显示基板及其制备方法、显示装置
JP2019033236A (ja) * 2017-08-10 2019-02-28 株式会社日本製鋼所 原子層成長装置並びに原子層成長装置を使用した成膜方法および原子層成長装置のクリーニング方法
KR20230046324A (ko) * 2018-04-17 2023-04-05 어플라이드 머티어리얼스, 인코포레이티드 비드 블라스팅을 이용하지 않는 표면의 텍스처라이징
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2022076807A (ja) * 2020-11-10 2022-05-20 東京エレクトロン株式会社 基板処理装置
JP2023137352A (ja) * 2022-03-18 2023-09-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5722668A (en) * 1994-04-29 1998-03-03 Applied Materials, Inc. Protective collar for vacuum seal in a plasma etch reactor
JPH08124912A (ja) * 1994-10-27 1996-05-17 Tokyo Electron Ltd マグネトロンプラズマエッチング方法及び装置
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
TW323387B (ja) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5989929A (en) * 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
EP1083219B1 (en) * 1998-05-26 2006-08-30 Tokyo Electron Limited Cleaning fluid and cleaning method for component of semiconductor-treating apparatus
JP2000349027A (ja) * 1999-05-27 2000-12-15 Applied Materials Inc 半導体製造装置
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6863835B1 (en) * 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
US7011039B1 (en) * 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
JP3621900B2 (ja) * 2000-09-12 2005-02-16 株式会社日立製作所 プラズマ処理装置および方法
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
JP2003168678A (ja) * 2001-12-03 2003-06-13 Shibaura Mechatronics Corp プラズマ処理装置
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP4330315B2 (ja) * 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
US20030192646A1 (en) * 2002-04-12 2003-10-16 Applied Materials, Inc. Plasma processing chamber having magnetic assembly and method
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
WO2004061888A2 (en) 2002-12-20 2004-07-22 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US20040182833A1 (en) * 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7560376B2 (en) * 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
US20040245089A1 (en) * 2003-06-04 2004-12-09 John Lawson Method of surface treating a processing element in a processing system
US7306707B2 (en) * 2003-06-04 2007-12-11 Tokyo Electron Limited Adaptable processing element for a processing system and a method of making the same
US8460945B2 (en) * 2003-09-30 2013-06-11 Tokyo Electron Limited Method for monitoring status of system components
US7001482B2 (en) * 2003-11-12 2006-02-21 Tokyo Electron Limited Method and apparatus for improved focus ring
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7584714B2 (en) * 2004-09-30 2009-09-08 Tokyo Electron Limited Method and system for improving coupling between a surface wave plasma source and a plasma space
US7618515B2 (en) * 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4777790B2 (ja) * 2005-09-29 2011-09-21 東京エレクトロン株式会社 プラズマ処理室用構造物、プラズマ処理室、及びプラズマ処理装置
US7976641B1 (en) * 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US7405160B2 (en) * 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US20070170155A1 (en) * 2006-01-20 2007-07-26 Fink Steven T Method and apparatus for modifying an etch profile
WO2007088894A1 (ja) * 2006-01-31 2007-08-09 Tokyo Electron Limited 基板処理装置、ならびにそれに用いられる基板載置台およびプラズマに曝される部材
JP2007250569A (ja) * 2006-03-13 2007-09-27 Tokyo Electron Ltd プラズマ処理装置およびプラズマに曝される部材
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US20070266945A1 (en) * 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
US7575007B2 (en) * 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
KR100757347B1 (ko) * 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP2008187062A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp プラズマ処理装置
JP5154124B2 (ja) * 2007-03-29 2013-02-27 東京エレクトロン株式会社 プラズマ処理装置
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR101126536B1 (ko) * 2007-10-31 2012-03-22 고쿠리츠다이가쿠호진 도호쿠다이가쿠 플라즈마 처리 시스템 및 플라즈마 처리 방법
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5256866B2 (ja) * 2008-02-05 2013-08-07 東京エレクトロン株式会社 処理装置
JP5281811B2 (ja) * 2008-03-13 2013-09-04 東京エレクトロン株式会社 プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
JP5475261B2 (ja) * 2008-03-31 2014-04-16 東京エレクトロン株式会社 プラズマ処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5100617B2 (ja) * 2008-11-07 2012-12-19 東京エレクトロン株式会社 リング状部材及びその製造方法
SG162642A1 (en) * 2009-01-06 2010-07-29 Frontken Singapore Pte Ltd Techniques for maintaining a substrate processing system
JP5158068B2 (ja) * 2009-02-20 2013-03-06 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
JP5302813B2 (ja) * 2009-07-28 2013-10-02 東京エレクトロン株式会社 堆積物対策用カバー及びプラズマ処理装置
KR101091309B1 (ko) * 2009-08-18 2011-12-07 주식회사 디엠에스 플라즈마 식각장치
US9117769B2 (en) * 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
JP2011049360A (ja) * 2009-08-27 2011-03-10 Tokyo Electron Ltd プラズマエッチング方法
JP2013503494A (ja) * 2009-08-31 2013-01-31 ラム リサーチ コーポレーション プラズマ閉じ込めを実施するためのマルチペリフェラルリング構成
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
TWI411154B (zh) * 2010-07-23 2013-10-01 Iner Aec Executive Yuan 一種用於固態氧化物燃料電池之雙層陽極-金屬基板結構及其製作方法
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
CN102959125B (zh) * 2010-08-06 2015-03-04 三菱重工业株式会社 真空处理装置及等离子体处理方法
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
JP5759718B2 (ja) * 2010-12-27 2015-08-05 東京エレクトロン株式会社 プラズマ処理装置
JP5762798B2 (ja) * 2011-03-31 2015-08-12 東京エレクトロン株式会社 天井電極板及び基板処理載置
JP2012222225A (ja) * 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
TWI638587B (zh) * 2011-10-05 2018-10-11 美商應用材料股份有限公司 對稱電漿處理腔室
WO2013099890A1 (ja) * 2011-12-28 2013-07-04 株式会社 フジミインコーポレーテッド 酸化イットリウム皮膜
US8721833B2 (en) * 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
KR101466967B1 (ko) * 2012-06-13 2014-12-15 한국과학기술연구원 내식성이 향상된 다성분계 열용사용 코팅물질, 그 제조방법 및 코팅방법
US9601330B2 (en) * 2012-09-18 2017-03-21 Panasonic Intellectual Property Management Co., Ltd. Plasma processing device, and plasma processing method
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US20140357092A1 (en) * 2013-06-04 2014-12-04 Lam Research Corporation Chamber wall of a plasma processing apparatus including a flowing protective liquid layer
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
KR102316260B1 (ko) 2021-10-25
TW201621973A (zh) 2016-06-16
JP2016063083A (ja) 2016-04-25
TWI662585B (zh) 2019-06-11
US20160086773A1 (en) 2016-03-24
KR20160033594A (ko) 2016-03-28

Similar Documents

Publication Publication Date Title
JP6544902B2 (ja) プラズマ処理装置
JP6423706B2 (ja) プラズマ処理装置
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
JP5916056B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP6169701B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP5064707B2 (ja) プラズマ処理装置
KR102311575B1 (ko) 피처리체를 처리하는 방법
JP2018117024A (ja) プラズマ処理装置
JP5702968B2 (ja) プラズマ処理装置及びプラズマ制御方法
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
US20120037314A1 (en) Substrate processing apparatus and side wall component
KR102428552B1 (ko) 플라즈마 처리 방법
KR20160041778A (ko) 피처리체를 처리하는 방법
US20070202701A1 (en) Plasma etching apparatus and method
JP5951324B2 (ja) プラズマ処理装置
TWI521594B (zh) 電漿處理方法及電漿處理裝置
JP2023053335A (ja) 載置台及び基板処理装置
JP2015185664A (ja) 処理ガス流量の決定方法
JP7224192B2 (ja) プラズマ処理装置
JP5064708B2 (ja) プラズマ処理装置
JP4087674B2 (ja) 半導体製造装置
JPH11185993A (ja) プラズマ処理方法及び装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170606

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180614

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180724

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190422

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190521

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190618

R150 Certificate of patent or registration of utility model

Ref document number: 6544902

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250