CN114875388A - 用于受控形成含氧薄膜的等离子体增强沉积方法 - Google Patents

用于受控形成含氧薄膜的等离子体增强沉积方法 Download PDF

Info

Publication number
CN114875388A
CN114875388A CN202210497733.5A CN202210497733A CN114875388A CN 114875388 A CN114875388 A CN 114875388A CN 202210497733 A CN202210497733 A CN 202210497733A CN 114875388 A CN114875388 A CN 114875388A
Authority
CN
China
Prior art keywords
sioc
plasma
deposited
film
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210497733.5A
Other languages
English (en)
Inventor
L·贾
V·J·鲍尔
M·图米恩
S·J·吉姆
O·马迪亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN114875388A publication Critical patent/CN114875388A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Abstract

本申请涉及用于受控形成含氧薄膜的等离子体增强沉积方法。提供了用于在反应空间中的衬底上控制含氧薄膜诸如碳氧化硅(SiOC)和碳氮氧化硅(SiOCN)薄膜的形成的方法。所述方法可以包括至少一个等离子体增强原子层沉积(PEALD)循环,所述循环包括使所述衬底与包含氧的硅前体和不含氧的第二反应物交替且依次地接触。在一些实施方案中,可以从一定范围中选择所述等离子体功率以实现沉积在三维特征上的膜的期望的阶梯覆盖率或湿法蚀刻速率比(WERR)。

Description

用于受控形成含氧薄膜的等离子体增强沉积方法
本申请是分案申请,原申请的申请日为2018年5月3日,申请号为201880026593.5,发明名称为“用于受控形成含氧薄膜的等离子体增强沉积方法”。
相关申请的引用
本申请要求于2017年5月5日提交的美国临时申请号62/502,118的优先权。
技术领域
本公开整体涉及半导体装置制造领域,并且更具体地讲,涉及用于受控形成具有期望性质的薄膜的方法。
背景技术
越来越需要具有相对低的介电常数(k)值和相对低的基于酸的湿法蚀刻速率的介电材料。碳氧化硅或碳氮氧化硅(SiOCN)可满足这些需求中的某些需求。通常,SiOC或SiOCN的沉积方法需要氧等离子体。此外,在诸如半导体工件等衬底的一个表面上相对于另一个不同表面(诸如包括不同材料或不同取向的表面)沉积或形成膜的能力是人们期望的。例如,选择性沉积可以减少半导体装置制造中涉及到的步骤的数量。
发明内容
本申请涉及通过等离子体增强原子层沉积(PEALD)在衬底上沉积氧化物。在一些实施方案中,PEALD方法不利用氧等离子体或其他反应性氧物质。
在一个方面,提供了用于控制衬底的三维特征上的碳氧化硅(SiOC)薄膜的阶梯覆盖率的方法。可以通过包括一个或多个沉积循环的PEALD方法来沉积SiOC膜,其中衬底与包含氧的气相硅前体接触,随后与第二等离子体反应物接触。在一些实施方案中,第二等离子体反应物包含无氧等离子体。第二等离子体反应物可以在650W或更低的等离子体功率下在反应物气体中生成。
在一些实施方案中,SiOC薄膜在三维特征上具有20%或更大的阶梯覆盖率。在一些实施方案中,阶梯覆盖率为约20%至约1000%。在一些实施方案中,三维特征具有约1至约3的纵横比。
在一些实施方案中,硅前体包含氧而在沉积循环中的其他反应物不含氧。在一些实施方案中,硅前体包含至少一个烷氧基。例如,硅前体可以包含3-甲氧基丙基三甲氧基硅烷(MPTMS)。
在一些实施方案中,第二反应物包含氢等离子体、氢原子、氢自由基或氢离子。
在一些实施方案中,在三维特征的垂直表面上形成的SiOC膜的湿法蚀刻速率与在三维特征的水平表面上形成的SiOC膜的湿法蚀刻速率的比率为约0.2至约15。
在一些实施方案中,该方法还包括随后将沉积的SiOC膜暴露于由在包含H2、N2或O2的气体中形成的等离子体生成的至少一种反应性物质。这样的暴露可以减小三维结构的垂直表面上的SiOC的湿法蚀刻速率,或者减小水平表面上的SiOC膜的厚度,同时增加垂直表面上的SiOC膜的厚度。
在一些实施方案中,该方法还包括蚀刻沉积的SiOC膜。蚀刻可以包括从垂直表面去除基本上全部的SiOC,但不从三维特征的水平表面去除。
在一些实施方案中,提供了用于在衬底上的三维结构的第一水平表面上相对于该结构的第二垂直表面选择性沉积SiOC的方法。该方法可以包括利用包含氧的硅反应物和无氧等离子体作为反应物的PEALD方法。沉积在水平表面上的SiOC可以具有比沉积在垂直表面上的SiOC低的蚀刻速率,使得沉积的SiOC的蚀刻从垂直表面去除比水平表面更多量的SiOC。在一些实施方案中,所有SiOC从垂直表面去除,但是一些SiOC保留在水平表面上。蚀刻可以包括将沉积的SiOC暴露于0.5%的稀HF。在其他实施方案中,蚀刻可以包括将沉积的SiOC暴露于等离子体反应物。
在一些实施方案中,PEALD方法包括使衬底与气相硅醇盐前体和由在包含氢的气体中形成的等离子体生成的至少一种反应性物质交替且依次地接触。
附图说明
图1A是根据一些实施方案的通过等离子体增强原子层沉积(PEALD)方法受控形成碳氧化硅(SiOC)薄膜的方法流程图。
图1B是根据一些实施方案的通过PEALD方法受控形成氧化物薄膜的方法流程图。
图2是根据一些实施方案的通过PEALD方法受控形成SiOC薄膜的方法流程图。
图3是通过PEALD方法相对于三维特征的垂直表面在相同三维特征的水平表面上选择性形成SiOC薄膜的方法流程图。
图4是一系列扫描电子显微照片,显示了通过如本文所述且根据一些实施方案的PEALD方法沉积的示例SiOC膜,其中等离子体功率从200W至650W变化。
图5是条形图,显示了如本文所述且根据一些实施方案的通过PEALD方法在三维结构上沉积的示例SiOC膜的阶梯覆盖率,其中三维结构具有变化的纵横比,等离子体功率为200W和500W。
图6A是如本文所述且根据一些实施方案的通过具有200W等离子体功率的PEALD方法沉积在纵横比为约3的三维特征上的示例SiOC膜的隧道电子显微照片。
图6B是如本文所述且根据一些实施方案的图6A的示例SiOC膜经受湿法蚀刻之后的隧道电子显微照片。
图6C是条形图,显示了如本文所述且根据一些实施方案的通过具有200W等离子体功率的PEALD方法沉积在纵横比为约3的三维特征上的示例SiOC膜的不同区域的每循环生长(GPC)和湿法蚀刻速率比(WERR)。
图7A是如本文所述且根据一些实施方案的通过具有200W等离子体功率的PEALD方法沉积在纵横比为约1.4的三维特征上的示例SiOC膜的隧道电子显微照片。
图7B是如本文所述且根据一些实施方案的图7A的示例SiOC膜经受湿法蚀刻之后的隧道电子显微照片。
图8A是如本文所述且根据一些实施方案的通过具有650W等离子体功率的PEALD方法沉积在纵横比为约1(右)和约3(左)的三维特征上的示例SiOC膜的隧道电子显微照片。
图8B是如本文所述且根据一些实施方案的图8A的SiOC膜经受湿法蚀刻之后的隧道电子显微照片。
图8C是条形图,显示了如本文所述且根据一些实施方案的通过具有650W等离子体功率的PEALD方法沉积在纵横比为约1的三维特征上的示例SiOC膜的不同区域的每循环生长(GPC)和湿法蚀刻速率比(WERR)。
图8D是条形图,显示了如本文所述且根据一些实施方案的通过具有650W等离子体功率的PEALD方法沉积在纵横比为约3的三维特征上的示例SiOC膜的不同区域的每循环生长(GPC)和湿法蚀刻速率比(WERR)。
图9A是如本文所述且根据一些实施方案的通过PEALD方法沉积在三维特征上的示例SiOC膜的扫描电子显微照片。
图9B是如本文所述且根据一些实施方案的图10的示例SiOC膜经受湿法蚀刻之后的扫描电子显微照片。
图10是如本文所述且根据一些实施方案的通过具有650W等离子体功率的PEALD方法沉积的示例SiOC膜的扫描电子显微照片。
图11A是显示使用不同的等离子体反应物使用异丙醇钛(IV)沉积的TiO(CN)膜的折射率(R.I.)的图线。
图11B是显示使用不同的等离子体反应物使用异丙醇钛(IV)沉积的TiO(CN)膜的每个循环的生长速率的图线。
具体实施方式
在一些实施方案中,可以通过等离子体增强原子层沉积(PEALD)方法来控制包含氧的膜例如SiOC、SiOCN、TiO2或Al2O3膜的形成,该方法使用包含氧的第一反应物和包含无氧等离子体的第二反应物。在一些实施方案中,第二反应物包含来自在不含氧的气体中生成的等离子体的物质。
对技术人员将显而易见的是,碳氧化硅(SiOC)膜例如在集成电路制造中具有广泛的应用。更具体地讲,展示出低蚀刻速率的SiOC膜在半导体行业和半导体行业以外都有广泛的应用。SiOC膜可以用作例如蚀刻终止层、牺牲层、低k间隔物、抗反射层(ARL)和钝化层。
根据本公开的一些实施方案,提供了各种SiOC膜、前体和用于沉积所述膜的方法。在一些实施方案中,SiOC膜例如在基于酸的蚀刻溶液(例如dHF)中具有相对低的湿法蚀刻速率。
在一些实施方案中,SiOC薄膜通过等离子体增强原子层沉积(PEALD)方法沉积在衬底上,该方法包括含有至少一个烷氧基的硅前体和无氧等离子体。在一些实施方案中,SiOC薄膜不通过液相方法沉积。在一些实施方案中,在形成finFET装置的过程中将SiOC薄膜沉积在例如鳍条的三维结构上。
在一些实施方案中,将SiOC薄膜沉积在包含三维结构或特征的衬底上,并且可以通过在如本文所述的等离子体增强ALD(PEALD)方法期间选择适当的等离子体功率来控制所述膜的一种或多种性质,例如沉积在三维结构的垂直表面上的膜的厚度、沉积在三维结构的水平表面上的膜的厚度、沉积在三维结构的垂直表面上的膜的湿法蚀刻速率(WER)和/或沉积在三维结构的水平表面上的膜的WER。在一些实施方案中,控制等离子体功率以在不同表面例如三维结构的垂直和水平表面上实现差异效果。在一些实施方案中,在其上沉积SiOC膜的三维特征的纵横比可以影响可以选择的等离子体功率,以实现期望的结果,例如沉积的SiOC膜的一部分的期望厚度、湿法蚀刻速率,和/或沉积在不同表面上的膜的多个部分的厚度和/或蚀刻速率的比率。
在一些实施方案中,对于纵横比为约1至约10的三维特征,沉积在该三维特征上的SiOC膜的阶梯覆盖率可以通过在PEALD方法期间选择适当的等离子体功率来控制。在一些实施方案中,可以选择等离子体功率以实现约25%至约1000%或更高的期望的阶梯覆盖率。
在一些实施方案中,沉积在垂直表面(例如三维特征的侧壁)上的SiOC膜的蚀刻速率(例如WER)与沉积在水平表面(例如三维特征的顶部表面)上的SiOC膜的蚀刻速率之比可以如本文所述通过选择适当的等离子体功率来控制。在一些实施方案中,通过在三维特征的不同表面上沉积具有不同蚀刻速率的膜,例如通过在三维特征的垂直和水平表面上沉积具有不同蚀刻速率的膜,来控制蚀刻速率。在一些实施方案中,可选择等离子体功率以实现约0.2至约15的期望的WER比(WERR)。
在一些实施方案中,沉积的SiOC膜(例如沉积以实现期望的三维结构上的阶梯覆盖率或期望的WERR的SiOC膜)可以经历沉积后处理,诸如等离子体处理和/或蚀刻。
在一些实施方案中,沉积后处理包括等离子体处理,其中SiOC膜与等离子体反应物接触所需的时间段。在一些实施方案中,SiOC膜暴露于氢、氧或氮等离子体中的一种或多种。
在一些实施方案中,沉积后等离子体处理可差异地改变第一表面和第二不同表面上的氧化物膜(诸如SiOC膜)的厚度。例如,沉积后等离子体处理可以减小衬底的垂直表面和衬底的水平表面上的SiOC膜的厚度,使得沉积在水平表面上的SiOC膜的厚度比沉积在第一表面上的SiOC膜的厚度减小得明显更多。
在一些实施方案中,沉积后等离子体处理可以减小一个表面上的厚度,而增加不同表面上的膜的厚度。例如,沉积在沟槽上的氧化物膜的沉积后等离子体处理可以减小沟槽顶部上的氧化物膜的厚度,而增加沟槽的侧壁上和/或底部处的膜的厚度。
在一些实施方案中,沉积后等离子体处理可改善三维结构上的氧化物膜的共形性。在一些实施方案中,沉积后等离子体处理可以增加三维结构(例如沟槽)上的氧化物膜的阶梯覆盖率。在一些实施方案中,阶梯覆盖率可以从小于1增加到大于1甚至最高2。例如,可以通过暴露于等离子体反应物来增加氧化物膜(诸如沟槽上的SiOC膜)的阶梯覆盖率。
在一些实施方案中,沉积后处理包括等离子体处理,该等离子体处理降低衬底上的特征的第一表面(诸如沟槽的垂直或侧壁表面)上的氧化物膜的WER。在一些实施方案中,沟槽侧壁上的诸如SiOC之类的氧化物的WER可相对于不存在沉积后等离子体处理的侧壁的WER减小30%、40%、50%、60%、70%、80%、90%、95%或甚至99%。如本领域已知的,可以在dHF酸中测量湿法蚀刻速率。
在一些实施方案中,当在沉积后等离子体处理中两个表面与等离子体反应物接触时,沉积在第一表面上的氧化物膜的WER比第二表面的WER降低得更多。例如,在一些实施方案中,三维特征的第一垂直表面和第二水平表面上的SiOC膜的WER通过沉积后等离子体处理而降低,但是第一表面上的SiOC膜的WER比第二表面上的SiOC膜的WER降低得明显更多。
在一些实施方案中,沉积后等离子体处理可以包括将沉积的氧化物膜例如三维结构上的SiOC膜暴露于在包含H2、O2或N2、N2O、NO、NO2、NH3、CO2或CO的气体中生成的等离子体。例如,沉积的氧化物膜可以暴露于在O2和Ar的组合或N2和Ar的组合中生成的等离子体。在一些实施方案中,可使用约10W至约5000W、约100W至约1000W、约200W至约800W、约300至800W或约300W至约500W的等离子体功率生成等离子体。在一些实施方案中,等离子体功率为约300W。在一些实施方案中,沉积后等离子体处理可以进行约0.5至60分钟、约1至30分钟、约3至15分钟或约5至10分钟。
在一些实施方案中,将沉积在三维结构上的氧化物膜暴露于氢等离子体,诸如在Ar和H2气体的混合物中生成的等离子体。在一些实施方案中,以约10W至约5000W、约100W至1000W、约300至900W、约300W至约500W或约330至850W的等离子体功率生成等离子体。在一些实施方案中,将氧化物膜暴露大约1至1000s、2至500s、5至200s或10至100s的时间段。
在一些实施方案中,可以在沉积后等离子体处理过程期间循环性地提供等离子体,在等离子体脉冲之间吹扫反应室。在一些实施方案中,对沉积的SiOC膜进行1、2、5、10、20、30、40、50、100、200、500或1000或更多个等离子体沉积后处理循环。
在一些实施方案中,沉积后处理可以在沉积过程期间以间隔提供。例如,可以在一定数量的沉积循环之后提供等离子体,诸如每5个沉积循环、每10个沉积循环、每25个沉积循环或每50个沉积循环。
在沉积后处理包括诸如湿法蚀刻之类的蚀刻的一些实施方案中,沉积后处理可从第一表面(例如衬底的垂直表面)去除基本上全部沉积的SiOC,并且可能不会从第二表面(例如衬底的水平表面)去除基本上全部沉积的SiOC。
在沉积后处理包括诸如含有反应性物质的蚀刻之类的蚀刻的一些实施方案中,沉积后处理可从第一表面(例如衬底的水平表面)去除基本上全部沉积的SiOC,并且可能不会从第二表面(例如衬底的垂直表面)去除基本上全部沉积的SiOC。
为了方便和简单起见,碳氧化硅膜的化学式在本文中通常被称为SiOC。如本文所用,SiOC无意限制、约束或限定键合或化学状态,例如膜中Si、O、C和/或任何其他元素中任一种的氧化态。此外,在一些实施方案中,除了Si、O和/或C之外,SiOC薄膜还可以包含一种或多种元素,例如S和/或N。也就是说,在一些实施方案中,SiOC膜可以包含例如碳氧化硅(SiOCN)或碳硫氧化硅(SiOCS)。在一些实施方案中,SiOC膜可以包含Si-C键和/或Si-O键。在一些实施方案中,SiOC膜可以包含Si-C键和Si-O键并且可以不含Si-N键。然而,在一些实施方案中,SiOC膜可以包含Si-C键、Si-O键和/或Si-N键。在一些实施方案中,除了Si-C和/或Si-O键之外,SiOC膜还可以包含Si-S键。在一些实施方案中,SiOC膜可以包含比Si-C键更多的Si-O键,例如Si-O键与Si-C键之比可以是约1:1至约10:1。在一些实施方案中,按原子计,SiOC可以包含约0%至约40%的碳。在一些实施方案中,按原子计,SiOC可包含约0.1%至约40%、约0.5%至约30%、约1%至约30%或约5%至约20%的碳。在一些实施方案中,按原子计,SiOC膜可以包含约0%至约70%的氧。在一些实施方案中,按原子计,SiOC可以包含约10%至约70%、约15%至约50%或约20%至约40%的氧。在一些实施方案中,按原子计,SiOC膜可以包含约0%至约50%的硅。在一些实施方案中,按原子计,SiOC可以包含约10%至约50%、约15%至约40%或约20%至约35%的硅。在一些实施方案中,按原子计,SiOC可包含约0.1%至约40%、约0.5%至约30%、约1%至约30%或约5%至约20%的硫。在一些实施方案中,SiOC膜可以不含氮。在一些其他实施方案中,按原子计(at%),SiOC膜可以包含约0%至约10%的氮。
ALD型方法基于受控的、通常自限性的表面反应。通常通过使衬底与反应物交替且依次接触来避免气相反应。例如通过在反应物脉冲之间去除过量的反应物和/或反应物副产物,使气相反应物在反应室中彼此分离。借助于吹扫气体和/或真空,反应物可以从衬底表面附近去除。在一些实施方案中,通过例如用惰性气体吹扫而从反应空间去除过量的反应物和/或反应物副产物。
在一些实施方案中,合适的衬底可以包括晶片,例如半导体晶片,例如硅晶片。在一些实施方案中,衬底可以包括直径等于或大于约150mm、等于或大于200mm、等于或大于300mm或者等于或大于450mm的晶片。
在一些实施方案中,使用等离子体增强ALD(PEALD)方法沉积含氧膜,例如氧化物膜、金属氧化物膜和/或SiOC膜。在一些实施方案中,如本文所述的PEALD方法不使用氧等离子体。在一些实施方案中,如本文所述的PEALD方法使用无氧等离子体。在一些实施方案中,如本文所述的PEALD方法不包括含有氧等离子体的反应物。在一些实施方案中,如本文所述的PEALD方法可以使用氢等离子体。在一些实施方案中,如本文所述的PEALD方法可以包括含有氢等离子体的反应物。
简而言之,将衬底或工件置于反应室中并经受交替重复的表面反应。在一些实施方案中,通过重复自限性ALD循环来形成SiOC薄膜。在一些实施方案中,为了形成SiOC膜,每个ALD循环包括至少两个不同的阶段。反应物或前体与衬底的接触和从衬底去除可被视为一个阶段。在第一阶段,包含硅的气相第一反应物或前体接触衬底并且在衬底表面上形成不超过约一个单层。这种反应物在本文中也被称为“硅前体”、“含硅前体”或“硅反应物”,并且可以是例如硅醇盐化合物,如双(三乙氧基甲硅烷基)乙烷(BTESE)或3-甲氧基丙基三甲氧基硅烷(MPTMS)。在一些实施方案中,将过量的第一气相反应物和任何反应副产物从衬底表面附近去除。借助于吹扫气体和/或真空,第一气相反应物和任何反应副产物可以从衬底表面附近去除。在一些实施方案中,通过例如用惰性气体吹扫从反应空间去除过量的反应物和/或反应物副产物。在一些实施方案中,可以移动衬底以便于去除反应物和/或反应物副产物,例如通过将衬底移动到不同的反应室。
在第二阶段,包含反应性物质的第二反应物接触衬底并且可以将吸附的硅物质转化为SiOC。在一些实施方案中,第二反应物包含氢前体。在一些实施方案中,反应性物质包含激发的物质。在一些实施方案中,第二反应物包含来自在不含氧的气体中生成的等离子体的物质。在一些实施方案中,第二反应物包含来自无氧等离子体的物质。在一些实施方案中,第二反应物包含来自含氢等离子体的物质。在一些实施方案中,第二反应物包含氢自由基、氢原子和/或氢等离子体。第二反应物可以包含不是氢前体的其他物质。在一些实施方案中,第二反应物可以包含来自惰性气体(例如He、Ne、Ar、Kr或Xe中的一种或多种)的物质,它们例如呈自由基的形式、等离子体的形式或元素的形式。来自稀有气体的这些反应性物质不一定会将材料加到所沉积的膜上,但是在一些情况下可以有助于膜生长以及有助于等离子体的形成和点火。在一些实施方案中,由惰性气体生成的反应性物质可能影响对下面的衬底的任何损害的量或程度。技术人员将能够选择适于特定应用的一种或多种惰性气体。在一些实施方案中,用于形成等离子体的气体可以在整个沉积过程中不断地流动,但是仅间歇地激活。在一些实施方案中,用于形成等离子体的气体不含氧。在一些实施方案中,吸附的硅前体不与由来自氧的等离子体生成的反应性物质接触。在一些实施方案中,吸附的衬底不与反应性氧物质接触。
在一些实施方案中,第二反应物包含在不含氧的气体中生成的反应性物质。例如,在一些实施方案中,第二反应物可以包括在不含氧的气体中生成的等离子体。在一些实施方案中,第二反应物可以在包含低于约50原子%(at%)的氧、低于约30at%的氧、低于约10at%的氧、低于约5at%的氧、低于约1at%的氧、低于约0.1at%的氧、低于约0.01at%的氧或低于约0.001at%的氧的气体中生成。
在一些实施方案中,用于形成等离子体的气体不含氮。在一些实施方案中,吸附的硅前体不与由来自氮的等离子体生成的反应性物质接触。在一些实施方案中,包含反应性物质的第二反应物在不含氮的气体中生成。例如,在一些实施方案中,第二反应物可以包括在不含氮的气体中生成的等离子体。然而,在一些实施方案中,用于形成等离子体的气体可以包含氮。在一些其他实施方案中,第二反应物可以包含氮自由基、氮原子和/或氮等离子体。在一些实施方案中,第二反应物可以在包含低于约25原子%(at%)的氮、低于约20at%的氮、低于约15at%的氮、低于约10at%的氮、低于约5at%的氮、低于约1at%的氮、低于约0.1at%的氮、低于约0.01at%的氮或低于约0.001at%的氮的气体中生成。在一些实施方案中,第二反应物可以在包含氢和氮的气体中生成,例如第二反应物可以包含H2和N2。在一些实施方案中,第二反应物可以在具有小于约20%、小于约10%或小于约5%的N2与H2比(N2/H2)的气体中生成。
在一些实施方案中,用于形成等离子体的气体不含氮或氧。在一些实施方案中,吸附的硅前体不与由来自包含氮或氧的气体的等离子体生成的反应性物质接触。在一些实施方案中,包含反应性物质的第二反应物在不含氮或氧的气体中生成。例如,在一些实施方案中,第二反应物可以包括在不含氮或氧的气体中生成的等离子体。
在一些实施方案中,过量的第二反应物和任何反应副产物从衬底表面附近去除。借助于吹扫气体和/或真空,第二反应物和任何反应副产物可以从衬底表面附近去除。在一些实施方案中,通过例如用惰性气体吹扫从反应空间去除过量的反应物和/或反应物副产物。在一些实施方案中,可以移动衬底以便于去除反应物和/或反应物副产物,例如通过将衬底移动到不同的反应室
可以添加另外的阶段,并且可以根据需要删除某些阶段以调整最终膜的组成。
一种或多种反应物可以借助载气例如Ar或He来提供。在一些实施方案中,硅前体和第二反应物借助载气提供。
在一些实施方案中,两个阶段可以重叠或组合。例如,硅前体和第二反应物可以在部分或完全重叠的阶段中同时接触衬底。另外,尽管称为第一阶段和第二阶段以及第一反应物和第二反应物,但阶段的顺序可以变化,并且ALD循环可以以任何一个阶段开始。也就是说,除非另有说明,否则反应物可以按任何顺序接触衬底,并且该方法可以从任何反应物开始。
如下文更详细讨论的那样,在用于沉积SiOC膜的一些实施方案中,一个或多个沉积循环通过使衬底与硅前体接触而开始,然后是第二前体。在其他实施方案中,沉积可以通过使衬底与第二前体接触而开始,然后是硅前体。
在一些实施方案中,将其上期望进行沉积的衬底例如半导体工件装载到反应空间或反应器中。反应器可以是组合设备工具的一部分,在该工具中,在集成电路的形成中执行多种不同的过程。在一些实施方案中,利用流式反应器。在一些实施方案中,利用喷头式反应器。在一些实施方案中,利用空间分隔的反应器。在一些实施方案中,使用能够进行大批量制造的单晶片ALD反应器。在其他实施方案中,使用包含多个衬底的间歇反应器。对于使用间歇ALD反应器的实施方案,衬底的数量在10至200的范围内、在50至150的范围内或在100至130的范围内。
可使用的合适反应器的实例包括市售设备,例如
Figure BDA0003633459030000111
反应器、
Figure BDA0003633459030000112
反应器;
Figure BDA0003633459030000113
反应器,例如
Figure BDA0003633459030000114
2000和
Figure BDA0003633459030000115
3000;
Figure BDA0003633459030000116
反应器和
Figure BDA0003633459030000117
400系列反应器,它们可得自ASM America,Inc,Phoenix,Arizona和ASM Europe B.V.,Almere,Netherlands。其他市售反应器包括以商品名
Figure BDA0003633459030000118
XP和XP8得自ASM Japan K.K(Tokyo,Japan)的那些。
在一些实施方案中,如果需要,可以对工件的暴露表面进行预处理,以提供与ALD方法的第一阶段反应的反应位点。在一些实施方案中,不需要单独的预处理步骤。在一些实施方案中,对衬底进行预处理以提供期望的表面终止。在一些实施方案中,将衬底用等离子体预处理。
过量的反应物和反应副产物(如果有的话)在反应物接触阶段之间从衬底附近特别是从衬底表面去除。在一些实施方案中,通过例如在反应物接触阶段之间吹扫反应室,例如通过用惰性气体吹扫,而从衬底表面去除过量的反应物和反应副产物(如果有的话)。每种反应物的流量和接触时间都是可调的,就像去除步骤一样,从而使得可以控制膜的质量和各种性质。
如上所提及,在一些实施方案中,在每个沉积循环期间或在整个ALD方法期间连续地向反应室提供气体,并且通过在反应室中或在反应室的上游在气体中生成等离子体来提供反应性物质。在一些实施方案中,该气体不含氮。在一些实施方案中,该气体可以包括惰性气体,例如氦或氩。在一些实施方案中,该气体是氦。在一些实施方案中,该气体是氩。流动的气体还可以用作第一反应物的吹扫气体和/或第二反应物(或反应性物质)。例如,流动的氩可以用作第一硅前体的吹扫气体并且还用作第二反应物(作为反应性物质的来源)。在一些实施方案中,氩或氦可用作第一前体的吹扫气体和将硅前体转化成SiOC膜的激发物质源。在一些实施方案中,在其中生成等离子体的气体不含氮,并且吸附的硅前体不与由来自氮的等离子体生成的反应性物质接触。在一些实施方案中,在其中生成等离子体的气体不含氧,并且吸附的硅前体不与由来自氧的等离子体生成的反应性物质接触。在一些实施方案中,在其中生成等离子体的气体不含氧或氮,并且吸附的硅前体不与由来自氧或氮的等离子体生成的反应性物质接触。
重复该循环直到获得具有期望的厚度和组成的膜。在一些实施方案中,沉积参数(例如前体流量、接触时间、去除时间和/或反应物本身)可以在ALD方法期间的一个或多个沉积循环中变化,以便获得具有期望的特性的膜。
在一些实施方案中,衬底表面与反应物接触。在一些实施方案中,向含有衬底的反应空间提供反应物的脉冲。术语“脉冲”可以理解为包括将反应物供给到反应室中持续预定的时间量。术语“脉冲”不限制脉冲的长度或持续时间,并且脉冲可以是任何时间长度。在一些实施方案中,将衬底移到含有反应物的反应空间。在一些实施方案中,随后将衬底从含有第一反应物的反应空间移动到含有第二反应物的第二不同反应空间。
在一些实施方案中,首先使衬底与硅反应物接触。在初始表面终止之后,如果需要或期望的话,则使衬底与第一硅反应物接触。在一些实施方案中,向工件供应第一硅反应物脉冲。根据一些实施方案,第一反应物脉冲包括载气流和与感兴趣的工件表面反应的挥发性硅物质,例如硅醇盐化合物,例如BTESE或MPTMS。因此,硅反应物吸附在这些工件表面上。第一反应物脉冲通过硅反应物物质使工件表面自身饱和,使得第一反应物脉冲的任何过量成分不会进一步与由该过程形成的分子层反应。
第一硅反应物脉冲可以按气态形式供应。出于本说明书的目的,硅前体气体在以下情况下被认为是“挥发性的”:该物质在工艺条件下表现出足够的蒸气压以将该物质以足够的浓度输送到工件而使暴露的表面饱和。
在一些实施方案中,硅反应物与表面接触约0.05秒至约5.0秒、约0.1秒至约3秒或约0.2秒至约1.0秒。技术人员可以基于具体情况容易地确定最佳接触时间。
在大致足够的时间使分子层吸附在衬底表面上之后,将过量的第一硅反应物和反应副产物(如果有的话)从衬底表面去除。在一些实施方案中,去除过量的反应物和反应副产物(如果有的话)可以包括吹扫反应室。在一些实施方案中,反应室可以通过以下方式吹扫:停止第一反应物的流动,同时继续使载气或吹扫气体流动足够的时间以扩散或吹扫反应空间中的过量反应物和反应物副产物(如果有的话)。在一些实施方案中,借助于在整个ALD循环中流动的惰性气体(例如氦或氩)来吹扫过量的第一前体。在一些实施方案中,可将衬底从包含第一反应物的反应空间移动到第二不同的反应空间。在一些实施方案中,去除第一反应物持续约0.1秒至约10秒、约0.3秒至约5秒或约0.3秒至约1秒。硅反应物的接触和去除可以被认为是ALD循环的第一阶段或硅阶段。
在第二阶段,向工件提供包含反应性物质(例如氢等离子体和/或无氧等离子体)的第二反应物。氢等离子体可以通过在反应室中或在反应室的上游在氢中生成等离子体而形成,例如通过使氢(H2)流过远程等离子体发生器。
在一些实施方案中,在流动的H2气体中生成等离子体。在一些实施方案中,在等离子体被点燃或形成氢原子或自由基之前,将H2提供给反应室。在一些实施方案中,将H2连续地提供给反应室,并且在需要时产生或供应含氢等离子体、原子或自由基。
通常,例如包含氢等离子体的第二反应物与衬底接触约0.1秒至约10秒。在一些实施方案中,第二反应物(例如含氢等离子体)与衬底接触约0.1秒至约10秒、0.5秒至约5秒或0.5秒至约2.0秒。然而,取决于反应器类型、衬底类型及其表面积,第二反应物接触时间可以甚至长于约10秒。在一些实施方案中,接触时间可以是分钟级的。技术人员可以基于具体情况容易地确定最佳接触时间。
在一些实施方案中,第二反应物以两个或更多个不同的脉冲提供,而不在任何两个或更多个脉冲之间引入另一反应物。例如,在一些实施方案中,以两个或更多个顺序脉冲提供诸如含氢等离子体的等离子体,而不在顺序脉冲之间引入Si前体。在一些实施方案中,在提供等离子体期间,通过以下方式来产生两个或更多个顺序等离子体脉冲:提供第一时间段的等离子体放电;将等离子体放电熄灭第二时间段,例如约0.1秒至约10秒、约0.5秒至约5秒或约1.0秒至约4.0秒;以及在引入另一前体或在去除步骤之前,例如在Si前体或吹扫步骤之前,再次激发等离子体放电第三时间段。另外的等离子体脉冲可以按相同的方式引入。在一些实施方案中,在每个脉冲中等离子体被点燃等同的时间段。
在一些实施方案中,等离子体(例如含氢等离子体)可以通过在一些实施方案中施加约5W至约5000W、10W至约2000W、约50W至约1000W或约200W至约800W的RF功率而生成。在一些实施方案中,RF功率密度可以为约0.001W/cm2至约10W/cm2、约0.01W/cm2至约5W/cm2、约0.02W/cm2至约2.0W/cm2或约0.05W/cm2至约1.5W/cm2。RF功率可以施加到在等离子体接触时间期间流动的、连续流过反应室的和/或流过远程等离子体发生器的第二反应物。因此,在一些实施方案中,等离子体是原位生成的,而在其他实施方案中,等离子体是远程生成的。在一些实施方案中,利用喷头反应器,并在基座(衬底位于其上)与喷头板之间生成等离子体。在一些实施方案中,基座与喷头板之间的间隙为约0.05cm至约50cm、约0.1cm至约20cm、约0.5cm至约5cm或约0.8cm至约3.0cm。如本文所述,在一些实施方案中,可以例如从给定的等离子体功率范围中选择等离子体功率,以便实现沉积膜的期望阶梯覆盖率、蚀刻速率或WERR。
经过足以使先前吸附的硅物质分子层完全饱和并与等离子体脉冲反应的时间段之后,从衬底表面去除任何过量的反应物和反应副产物。
在一些实施方案中,去除过量的反应物和反应副产物(如果有的话)可以包括吹扫反应室。在一些实施方案中,反应室可以通过以下方式吹扫:停止第二反应物的流动,同时继续使载气或吹扫气体流动足够的时间以扩散或吹扫反应空间中的过量反应物和反应物副产物(如果有的话)。在一些实施方案中,借助于在整个ALD循环中流动的惰性气体(例如氦或氩)来吹扫过量的第二前体。在一些实施方案中,可将衬底从包含第二反应物的反应空间移动到不同的反应空间。在一些实施方案中,去除可以为约0.1秒至约10秒、约0.1秒至约4秒或约0.1秒至约0.5秒。反应性物质接触和去除一起代表了SiOC原子层沉积循环中的第二反应性物质阶段。
两个阶段一起代表了一个ALD循环,对该循环进行重复以形成具有期望厚度的SiOC薄膜。虽然ALD循环在本文中通常被称为从硅阶段开始,但是可以设想,在其他实施方案中,该循环可以从反应性物质阶段开始。本领域的技术人员将认识到,第一前体阶段通常与前一循环中最后阶段留下的物质反应。因此,虽然在反应性物质阶段是第一ALD循环中的第一阶段的情况下之前可能没有反应物吸附到衬底表面上或存在于反应空间中,但在随后的循环中,反应性物质阶段将有效地跟随硅阶段。在一些实施方案中,在沉积过程中提供一个或多个不同的ALD循环。
根据本公开的一些实施方案,PEALD反应可以在约25℃至约700℃、约50℃至约600℃、约100℃至约450℃或约200℃至约400℃范围内的温度下进行。在一些实施方案中,最佳反应器温度可能受到最大允许热预算的限制。因此,在一些实施方案中,反应温度为约100℃至约300℃。在某些应用中,最高温度为约200℃,因此PEALD方法在该反应温度下进行。
其上沉积有薄膜的衬底可以包含各种类型的材料。在一些实施方案中,衬底可以包含集成电路工件。在一些实施方案中,衬底可以包含硅。在一些实施方案中,衬底可以包含氧化硅,例如热氧化物。在一些实施方案中,衬底可以包含高k介电材料。在一些实施方案中,衬底可以包含碳。例如,衬底可以包含无定形碳层、石墨烯和/或碳纳米管。
在一些实施方案中,衬底可以包含金属,包括但不限于W、Cu、Ni、Co和/或Al。在一些实施方案中,衬底可以包含金属氮化物,包括但不限于TiN和/或TaN。在一些实施方案中,衬底可以包含金属碳化物,包括但不限于TiC和/或TaC。在一些实施方案中,衬底可以包含金属硫族化合物,包括但不限于MoS2、Sb2Te3和/或GeTe。在一些实施方案中,衬底可以包含将通过暴露于氧等离子体方法而被氧化的材料,但不包括如本文所述的PEALD方法。
在一些实施方案中,用在本文所述的PEALD方法中的衬底可以包含有机材料。例如,衬底可以包含诸如塑料、聚合物和/或光致抗蚀剂的有机材料。在衬底包含有机材料的一些实施方案中,PEALD方法的反应温度可以低于约200℃。在一些实施方案中,该反应温度可以低于约150℃、低于约100℃、低于约75℃或低于约50℃。
在衬底包含有机材料的一些实施方案中,最高工艺温度可低至100℃。在衬底包含有机材料的一些实施方案中,不存在由氧生成的等离子体可允许在有机材料上沉积SiOC薄膜,而在包括由氧生成的等离子体的沉积方法中所述有机材料则可能会降解。
根据本公开的一些实施方案,反应室在加工期间的压力保持在约0.01托至约50托或约0.1托至约10托。在一些实施方案中,反应室的压力大于约6托或约20托。在一些实施方案中,可以在约20托至约500托、约20托至约50托或约20托至约30托的压力下执行SiOC沉积方法。
在一些实施方案中,SiOC沉积方法可以包括多个沉积循环,其中至少一个沉积循环在高压状态下进行。例如,PEALD方法的沉积循环可以包括在升高的压力下使衬底与硅前体和第二反应物交替且依次地接触。在一些实施方案中,PEALD方法的一个或多个沉积循环可以在约6托至约500托、约6托至约50托或约6托至约100托的工艺压力下进行。在一些实施方案中,一个或多个沉积循环可以在大于约20托(包括约20托至约500托、约30托至约500托、约40托至约500托或约50托至约500托)的工艺压力下进行。在一些实施方案中,一个或多个沉积循环可以在约20托至约30托、约20托至约100托、约30托至约100托、约40托至约100托或约50托至约100托的工艺压力下进行。
SiOC膜的受控形成
如上所提及,并且在下文中更详细地讨论,在一些实施方案中,可以通过等离子体增强原子沉积层(PEALD)方法将SiOC薄膜沉积在反应空间中的衬底上。根据一些实施方案,在具有三维特征的衬底上,例如在FinFET应用中,使用PEALD方法来沉积SiOC薄膜。在其中在三维特征上沉积SiOC薄膜的一些实施方案中,在特征的不同表面上的沉积膜的性质(例如阶梯覆盖率和/或WERR)可以通过从给定的等离子体功率范围中选择适当的等离子功率而控制。在一些实施方案中,沉积膜的性质(例如厚度或WER)可以被控制为在不同的表面(例如特征的垂直和水平表面)上是不同的。在一些实施方案中,如本文所述的PEALD方法可以用于多种应用。例如,如本文所述的PEALD方法可以用于形成硬掩模层、牺牲层、保护层或低k间隔物。如本文所述的PEALD方法可用于例如存储器装置应用。
在一些实施方案中,在不能承受氧等离子体而没有损坏的衬底上,例如在包含有机材料和/或光致抗蚀剂材料的衬底上,可以通过如本文所述不包括氧等离子体的PEALD方法来沉积SiOC薄膜。
参照图1A并根据一些实施方案,在反应空间中在包含三维特征的衬底上形成SiOC薄膜由包括至少一个循环的PEALD沉积方法100控制,所述循环包括:
在步骤120中,使衬底与包含氧的气相含硅前体接触,使得硅物质吸附到衬底的表面上;
在步骤130中,从衬底表面去除过量的含硅前体和反应副产物(如果有的话);
在步骤140中,从某一等离子体功率范围中选择等离子体功率以实现待沉积的SiOC膜的期望阶梯覆盖率和/或WERR;
在步骤150中,在不含氧的气体中,使衬底与在所选的等离子体功率下生成的等离子体生成的第二反应物接触,所述第二反应物包含反应性物质,所述反应性物质包含氢而不含氧,从而将吸附的硅物质转化为SiOC;
在步骤160中,从衬底表面去除过量的第二反应物和反应副产物(如果有的话);以及
在步骤170中,任选地重复接触和去除步骤以在衬底的三维特征上形成具有期望厚度、组成、阶梯覆盖率和/或WERR的SiOC薄膜。
在一些实施方案中,步骤140可以包括从某一等离子体功率范围(例如对于纵横比为约1至约3的三维特征,约50W至约1000W的范围)中选择适当的等离子体功率以实现期望的阶梯覆盖率和/或WERR。在一些实施方案中,可以在沉积过程之前计算出实现期望性质所需的等离子体功率。也就是说,在一些实施方案中,等离子体功率的范围对应于待沉积膜的预先确立的材料性质的已知范围。在一些实施方案中,三维特征的纵横比可以影响所选的等离子体功率。例如,可以选择较高的等离子体功率以在具有高纵横比的三维特征上而不是在具有相对低纵横比的三维特征上实现期望的阶梯覆盖率。在一些实施方案中,可以选择较高或较大的等离子体功率以实现在给定三维结构上具有较高期望阶梯覆盖率的SiOC膜。在一些实施方案中,可以选择较高的等离子体功率来实现具有比通过使用较低等离子体功率的基本类似沉积方法沉积的基本类似膜低的WERR的SiOC膜。如本文所用,湿法蚀刻速率比(WERR)是指沉积在垂直表面(例如侧壁)上的材料的湿法蚀刻速率与沉积在水平表面(例如三维特征的顶部表面)上的材料的湿法蚀刻速率之比。
在一些实施方案中,使用前体和/或反应物的相同组合,选择适当的等离子体功率可以实现低于、等于或大约100%的期望阶梯覆盖率。在一些实施方案中,可以选择等离子体功率,使得沉积过程在第一表面(例如三维特征的垂直表面)上相对于第二表面(例如三维特征的水平表面)是选择性的。
在一些实施方案中,步骤150可以包括在使衬底与第二反应物接触之前远程生成或形成等离子体或反应性物质。
根据一些实施方案,在反应空间中在包括三维特征的衬底上形成SiOC薄膜由包括多个SiOC沉积循环的ALD型沉积方法控制,每个SiOC沉积循环包括:
使衬底与包含氧的气相硅反应物接触,使得硅化合物吸附在衬底表面上;
将衬底暴露于吹扫气体和/或真空;
从某一等离子体功率范围中选择等离子体功率以实现待沉积的SiOC膜的期望阶梯覆盖率和/或WERR;
使衬底与反应性物质接触,所述反应性物质通过在包含氢的气体中在所选的等离子体功率下形成等离子体而生成;以及
将衬底暴露于吹扫气体和/或真空;
任选地重复接触和暴露步骤,直到获得具有期望厚度、组成、阶梯覆盖率和/或WERR的SiOC薄膜。
在一些实施方案中,将衬底暴露于吹扫气体和/或真空步骤可以包括在停止前体或反应物的流动的同时继续惰性载气的流动。在一些实施方案中,将衬底暴露于吹扫气体和/或真空步骤可包括停止前体和载气流入反应室并且例如用真空泵排空反应室。在一些实施方案中,将衬底暴露于吹扫气体和/或真空步骤可包括将衬底从第一反应室移动到包含吹扫气体的第二不同反应室。在一些实施方案中,将衬底暴露于吹扫气体和/或真空步骤可包括将衬底从第一反应室移动至在真空下的第二不同反应室。在一些实施方案中,反应性物质可以不含氮。
在一些实施方案中,对于纵横比为约1至约3的三维特征而言,从某一等离子体功率范围中选择适当的等离子体功率以实现期望的阶梯覆盖率和/或WERR可以包括例如从约50W至约1000W的范围中选择等离子体功率。在一些实施方案中,三维特征的纵横比可以影响所选的等离子体功率。例如,具有高纵横比的三维特征可能需要比具有相对低纵横比的三维特征选择更高的等离子体功率才能实现期望的阶梯覆盖率。在一些实施方案中,可以选择较高或较大的等离子体功率以实现具有较高的期望阶梯覆盖率的SiOC膜。在一些实施方案中,可以选择较高的等离子体功率来实现具有较低WERR的SiOC膜。在一些实施方案中,等离子体功率可以选自约200W至约650W或约200W至约500W的范围。在一些实施方案中,等离子体功率可以选自约650W或更小、500W或更小或者200W或更小的范围。
在一些实施方案中,在反应空间中在包含三维特征的衬底上形成SiOC薄膜由包括至少一个循环的PEALD沉积方法控制,所述循环包括:
使衬底与包含MPTMS的气相含硅前体接触,使得硅物质吸附到衬底的表面上;
从衬底表面去除过量的含硅前体和反应副产物(如果有的话);
从某一等离子体功率范围中选择等离子体功率以实现待沉积的SiOC膜的期望阶梯覆盖率和/或WERR;
使衬底与包含反应性物质的第二反应物接触,所述反应性物质由来自包含H2和Ar的气体的等离子体生成,所述等离子体通过所选的等离子体功率生成,从而将吸附的硅物质转化为SiOC;
从衬底表面去除过量的第二反应物和反应副产物(如果有的话);以及
任选地重复接触和去除步骤以在衬底的三维特征上形成具有期望厚度、组成、阶梯覆盖率和/或WERR的SiOC薄膜。
在某些实施方案中,通过包括多个SiOC沉积循环的ALD型方法在衬底上形成SiOC薄膜,每个SiOC沉积循环包括:交替且依次地使衬底与包含氧的第一气相硅前体以及与第二反应物接触,所述第二反应物包含在所选等离子体功率下通过在气体中形成等离子体而生成的反应性物质。在一些实施方案中,可以从某一等离子体功率范围中选择等离子体功率,以便在要沉积的SiOC膜中实现期望的阶梯覆盖率和/或WERR。
在一些实施方案中,PEALD方法在约100℃至约650℃、约100℃至约550℃、约100℃至约450℃、约200℃至约600℃或在约200℃至约400℃的温度下进行。在一些实施方案中,该温度为约300℃。在一些实施方案中,该温度为约200℃。在一些实施方案中,例如在衬底包含有机材料诸如有机光致抗蚀剂的情况下,PEALD方法可以在低于约100℃的温度下进行。在一些实施方案中,PEALD方法在低于约75℃或低于约50℃的温度下进行。
在一些实施方案中,等离子体可以通过将所选的等离子体功率例如所选的RF功率施加到气体而生成。RF功率可以施加到气体,从而生成反应性物质。在一些实施方案中,RF功率可以被施加到连续流过反应室和/或流过远程等离子体发生器的气体。因此,在一些实施方案中,等离子体是原位生成的,而在其他实施方案中,等离子体是远程生成的。在一些实施方案中,施加的所选RF功率选自约5W至约5000W、约10W至约2000W、约50W至约1000W或约200W至约800W的范围。
参照图1B并根据一些实施方案,在反应空间中在包含三维特征的衬底上形成含氧薄膜由包括至少一个循环的PEALD沉积方法101控制,所述循环包括:
在步骤121中,使衬底与包含氧的气相第一前体接触,使得前体物质吸附到衬底的表面上;
在步骤131中,从衬底表面去除过量的第一前体和反应副产物(如果有的话);
在步骤141中,从某一等离子体功率范围中选择等离子体功率以实现待沉积的薄膜的期望阶梯覆盖率和/或WERR;
在步骤151中,使衬底与在所选的等离子体功率下生成的等离子体生成的第二反应物接触,所述第二反应物包含反应性物质,所述反应性物质包含氢而不含氧,从而将吸附的硅物质转化为含氧薄膜;
在步骤161中,从衬底表面去除过量的第二反应物和反应副产物(如果有的话);以及
在步骤171中,任选地重复接触和去除步骤以在衬底的三维特征上形成具有期望厚度、组成、阶梯覆盖率和/或WERR的含氧薄膜。
在一些实施方案中,步骤141可以包括从某一等离子体功率范围(例如对于纵横比为约1至约3的三维特征,约50W至约1000W的范围)中选择适当的等离子体功率以实现期望的阶梯覆盖率和/或WERR。在一些实施方案中,可以在沉积过程之前计算出实现期望性质所需的等离子体功率。也就是说,在一些实施方案中,等离子体功率的范围对应于待沉积膜的预先确立的材料性质的已知范围。在一些实施方案中,三维特征的纵横比可以影响所选的等离子体功率。例如,可以选择较高的等离子体功率以在具有高纵横比的三维特征上而不是在具有相对低纵横比的三维特征上实现期望的阶梯覆盖率。在一些实施方案中,可以选择较高或较大的等离子体功率以实现在给定三维结构上具有较高期望阶梯覆盖率的膜。在一些实施方案中,可以选择较高的等离子体功率来实现具有比通过使用较低等离子体功率的基本类似沉积方法沉积的基本类似膜低的WERR的膜。如本文所用,湿法蚀刻速率比(WERR)是指沉积在垂直表面(例如侧壁)上的材料的湿法蚀刻速率与沉积在水平表面(例如三维特征的顶部表面)上的材料的湿法蚀刻速率之比。
在一些实施方案中,使用前体和/或反应物的相同组合,选择适当的等离子体功率可以实现低于、等于或大约100%的期望阶梯覆盖率。在一些实施方案中,可以选择等离子体功率,使得沉积过程在第一表面(例如三维特征的垂直表面)上相对于第二表面(例如三维特征的水平表面)是选择性的。
在一些实施方案中,步骤151可以包括在使衬底与第二反应物接触之前远程生成或形成等离子体或反应性物质。
参照图2并根据一些实施方案,在反应空间中在包含三维特征的衬底上形成SiOC薄膜由PEALD沉积方法200控制,该方法包括:
在步骤220中,使衬底与包含氧的气相含硅前体接触,使得硅物质吸附到衬底的表面上;
在步骤230中,从衬底表面去除过量的含硅前体和反应副产物(如果有的话);
在步骤240中,从某一等离子体功率范围中选择等离子体功率以实现待沉积的SiOC膜的期望阶梯覆盖率和/或WERR;
在步骤250中,在不含氧的气体中,使衬底与通过所选的等离子体功率生成的等离子体生成的第二反应物接触,所述第二反应物包含反应性物质,所述反应性物质包含氢而不含氧,从而将吸附的硅物质转化为SiOC;
在步骤260中,从衬底表面去除过量的第二反应物和反应副产物(如果有的话);
在步骤270中,任选地重复接触和去除步骤以在衬底的三维特征上形成具有期望厚度、组成、阶梯覆盖率和/或WERR的SiOC薄膜;以及
在步骤280中,将沉积的SiOC膜暴露于第三反应物,所述第三反应物包含通过来自气体的等离子体生成的反应性物质,从而减小沉积的SiOC膜的厚度和/或WERR。
在一些实施方案中,步骤240可以包括从某一等离子体功率范围(例如对于纵横比为约1至约3的三维特征,约50W至约1000W的范围)中选择适当的等离子体功率以实现期望的阶梯覆盖率和/或WERR。在一些实施方案中,三维特征的纵横比可以影响所选的等离子体功率。例如,具有高纵横比的三维特征可能需要比具有相对低纵横比的三维特征选择更高的等离子体功率才能实现期望的阶梯覆盖率。在一些实施方案中,可以选择较高或较大的等离子体功率以实现具有较高的期望阶梯覆盖率的SiOC膜。在一些实施方案中,可以选择较高的等离子体功率来实现具有较低WERR的SiOC膜。
在一些实施方案中,步骤250可以包括在使衬底与第二反应物接触之前远程生成或形成等离子体或反应性物质。
在一些实施方案中,步骤280包括沉积后处理并且可以包括在使衬底与第三反应物接触之前远程生成或形成等离子体或反应性物质。在一些实施方案中,第三反应物可以包含与第二反应物相同的反应性物质。在一些实施方案中,用于生成构成第三反应物的反应性物质的气体可以包含氢、氮或氧。在一些实施方案中,用于生成构成第三反应物的反应性物质的气体可以包含一种或多种惰性气体,例如氩。在一些实施方案中,第三反应物可以与第二反应物基本上相同,但是用于生成第三反应物的等离子体功率可以不同于用于生成第二反应物的等离子体功率。例如,在一些实施方案中,可以使用比用于生成构成第二反应物的反应性物质时更高的等离子体功率来生成构成第三反应物的反应性物质。例如,在一些实施方案中,可使用约10W至约1000W、约400W至约600W、约400W至约1000W、约300W至约500W或约600W至约1000W的等离子体功率来生成第三反应物的反应性物质。
在一些实施方案中,将沉积的SiOC膜暴露于第三反应物可以减小沉积的SiOC膜的厚度和/或WERR。在一些实施方案中,步骤280可以比SiOC膜的第二部分更多地减小SiOC膜的第一部分的厚度和/或WER。例如,在一些实施方案中,步骤280可以减小第一表面(例如衬底的垂直表面)以及第二表面(例如衬底的水平表面)上的SiOC膜的厚度,使得沉积在第二表面上的SiOC膜的厚度比沉积在第一表面上的SiOC膜的厚度减小得明显更多。也就是说,在一些实施方案中,步骤280的沉积后处理可增加SiOC膜的阶梯覆盖率。在一些实施方案中,步骤280的沉积后处理可以将SiOC膜的阶梯覆盖率增加约10%、25%、50%、75%、100%、200%、500%或1000%或更多。
在一些实施方案中,步骤280可以降低第一表面(例如衬底的垂直表面)和第二表面(例如衬底的水平表面)上的SiOC膜的WER,使得第一表面上的SiOC膜的WER比第二表面上的SiOC膜的WER降低得明显更多。也就是说,在一些实施方案中,包括步骤280的沉积后处理可以降低SiOC膜的WERR。例如,在一些实施方案中,包括步骤280的沉积后处理可以将SiOC膜的WERR降低约5%、10%、25%、50%、75%、90%或甚至100%。
如上所述,在一些实施方案中,可以在沉积过程期间以间隔提供步骤280。也就是说,整个沉积过程200可以重复多次。在一些实施方案中,可将沉积循环220-260重复270两次或更多次,再提供处理步骤280,然后将沉积循环220-260再次重复(270)一次或多次,随后提供进一步的处理步骤。该过程可以重复多次以产生所需的SiOC膜。循环过程可以被描述为X x(Y x270+280),其中X和Y是整数,并且可以相同或不同。
现在参照图3并根据一些实施方案,三维特征上的SiOC薄膜的形成由方法300控制,该方法包括:
在步骤320中,提供包括具有水平表面和垂直表面的至少一个三维特征的衬底;
在步骤330中,如本文所述,通过不含激发氧物质的PEALD方法将SiOC沉积在至少一个三维特征上;以及
在步骤340中,蚀刻沉积的SiOC。
在一些实施方案中,在步骤330中在至少一个三维特征上沉积SiOC可包括通过本文提供的沉积方法沉积SiOC,例如上文关于图1和图2所述的受控形成PEALD方法。
在一些实施方案中,在步骤340中蚀刻沉积的SiOC可以包括本领域已知的蚀刻方法,例如干法蚀刻方法诸如等离子体蚀刻方法,或湿法蚀刻方法诸如0.5重量%的稀HF蚀刻方法。在一些实施方案中,在步骤340中蚀刻沉积的SiOC可以去除沉积的SiOC的至少一部分。
在一些实施方案中,蚀刻方法可以包括将衬底暴露于氢原子、氢自由基、氢等离子体或其组合。例如,在一些实施方案中,蚀刻方法可以包括将衬底暴露于使用约10W至约5000W、约25W至约2500W、约50W至约500W或约100W至约400W的功率由H2生成的等离子体。在一些实施方案中,蚀刻方法可以包括将衬底暴露于使用约1W至约1000W、约10W至约500W、约20W至约250W或约25W至约100W的功率生成的等离子体。
在一些实施方案中,蚀刻方法可以包括将衬底暴露于等离子体。在一些实施方案中,等离子体可以包含反应性物质,例如氧原子、氧自由基、氧等离子体或其组合。在一些实施方案中,等离子体可以包含反应性物质,例如氢原子、氢自由基、氢等离子体或其组合。在一些实施方案中,除了反应性物质以外,等离子体还可以包含惰性气体物质,例如Ar或He物质。在一些实施方案中,等离子体可以包含惰性气体物质而没有反应性物质。在一些情况下,等离子体可以包含其他物质,例如氮原子、氮自由基、氮等离子体或其组合。在一些实施方案中,衬底可以在约30℃与约500℃之间或约100℃与约400℃之间的温度下暴露于蚀刻剂。在一些实施方案中,蚀刻剂可以以一个连续脉冲供应或者可以以多个较短脉冲供应。
技术人员可容易地确定用于从三维特征去除期望量的沉积SiOC的最佳暴露时间、温度和/或功率。
在一些实施方案中,在步骤340中蚀刻沉积的SiOC可从第一表面(例如三维特征的垂直表面)去除基本上全部沉积的SiOC,并且可能不会从第二不同的表面(例如三维特征的水平表面)去除基本上全部沉积的SiOC。以这种方式,可以控制三维特征的期望表面上的SiOC的选择性形成。例如,在一些实施方案中,由于通过步骤330的沉积方法受控形成SiOC膜,所以沉积在三维特征的垂直表面上的SiOC的量可以显著小于沉积在水平表面上的SiOC的量。因此,在一些实施方案中,两个表面上的沉积膜厚度的差异可以允许差异蚀刻,使得可以将基本上全部的膜从一个表面去除,而一些膜或材料仍留在第二不同的表面上。
在一些实施方案中,由于通过步骤330的沉积方法受控形成SiOC膜,所以沉积在三维特征的垂直表面上的SiOC的WER可以显著高于沉积在水平表面上的SiOC的WER。因此,蚀刻方法可基本上完全从三维特征的垂直表面去除沉积的SiOC,而沉积的SiOC可留在三维特征的水平表面上。
如下文更详细讨论的那样,在用于沉积SiOC膜的一些实施方案中,一个或多个PEALD沉积循环从提供硅前体开始,然后是第二反应物。在其他实施方案中,沉积可以从提供第二反应物开始,然后是硅前体。本领域的技术人员将认识到,第一前体阶段通常与前一循环中最后阶段留下的物质反应。因此,虽然在反应性物质阶段是第一PEALD循环中的第一阶段的情况下之前可能没有反应物吸附到衬底表面上或存在于反应空间中,但在随后的PEALD循环中,反应性物质阶段将有效地跟随硅阶段。在一些实施方案中,在用于形成SiOC薄膜的方法中提供一个或多个不同的PEALD子循环。
Si前体
在本发明所公开的PEALD方法中可以使用多种不同的合适的Si前体。在一些实施方案中,合适的Si前体可以包含硅烷。
在一些实施方案中,合适的Si前体可以包含通过至少一个烃基连接或键合到至少一个烃基的两个Si原子。在一些实施方案中,合适的Si前体可以包含通过至少一个烷基连接或键合到至少一个烷基的两个Si原子。在一些实施方案中,合适的Si前体可以包含通过至少一个烷氧基连接或键合到至少一个烷氧基的两个Si原子。在一些实施方案中,合适的Si前体可以包含通过至少一个甲硅烷基连接或键合到至少一个甲硅烷基的两个Si原子。在一些实施方案中,合适的Si前体可以包含通过至少一个甲硅烷基醚基团连接或键合到至少一个甲硅烷基醚基团的两个Si原子。在一些实施方案中,合适的Si前体可以包含至少一个-SH基团,其中-SH可以键合到烷基链或硅原子。在一些实施方案中,合适的Si前体可以包含至少一个巯基。在一些实施方案中,合适的Si前体可以包含至少一个-R-SH结构,其中R可以是C1-C5烷基。在一些实施方案中,合适的Si前体可以包含烷基链上的至少一个-SH基团和键合到硅原子的一个或多个烷氧基。
在一些实施方案中,合适的Si前体可以包含至少一个附连或键合到一个或多个烷氧基的Si原子。在一些实施方案中,合适的Si前体可以包含至少一个附连或键合到一个或多个烷基的Si原子。在一些实施方案中,合适的Si前体可以包含至少一个附连或键合到至少烷基和烷氧基的Si原子。
在一些实施方案中,适于通过PEALD方法沉积SiOC的至少一些Si前体可以包含具有以下通式的桥连烷氧基硅烷:
(1)(RIIO)3Si-RI-Si(ORII)3
其中RI和RII中的每一个可以是独立选择的烷基。在一些实施方案中,RI和RII中的每一个是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。
根据一些实施方案,一些Si前体可以包含具有以下通式的桥连烷氧基烷基硅烷:
(2)RIII y(ORII)x Si-RI-Si(ORII)x RIII y
其中RI、RII和RIII中的每一个可以是独立选择的烷基,并且x+y=3。在一些实施方案中,RI和RII中的每一个是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RIII可以是独立选择的C1-C8烷基配体。
根据一些实施方案,一些Si前体可以包含具有以下通式的环状烷氧基硅烷:
(3)(RIIO)2Si–RI 2–Si(ORII)2
式(3)可以另选地由以下结构式表示:
Figure BDA0003633459030000241
其中RI和RII中的每一个可以是独立选择的烷基。在一些实施方案中,RI和RII中的每一个是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。
根据一些实施方案,一些Si前体可以包含具有以下通式的环状烷氧基烷基硅烷:
(4)RIII y(ORII)x Si–RI 2-Si(ORII)x RIII y
式(4)可以另选地由以下结构式表示:
Figure BDA0003633459030000251
其中RI、RII和RIII中的每一个可以是独立选择的烷基,并且x+y=2。在一些实施方案中,RI和RII中的每一个是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RIII可以是独立选择的C1-C8烷基配体。
根据一些实施方案,一些Si前体可以包含具有以下通式的线性烷氧基硅烷:
(5)(RIIO)3Si–(O-Si-RI 2)n–O-Si(ORII)3
其中RI可以是独立选择的烷基或氢,RII可以是独立选择的烷基,并且n=1-4。在一些实施方案中,RI和RII中的每一个是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RI可以是氢并且RII可以是独立选择的C1-C5烷基配体。
根据一些实施方案,一些Si前体可以包含具有以下通式的线性烷氧基硅烷:
(6)RIII y(ORII)x Si–(-RI-Si)n-Si(ORII)x RIII y
其中RI、RII和RIII中的每一个可以是独立选择的烷基,x+y=2,并且n可以大于或等于1。在一些实施方案中,RI和RII独立地选自C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RIII可以是独立选择的C1-C8烷基配体。
根据一些实施方案,一些Si前体可以包含具有以下通式的烷氧基硅烷:
(7)Si(ORI)4
其中RI可以是独立选择的烷基。在一些实施方案中,RI可以是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。
根据一些实施方案,一些Si前体可以包含具有以下通式的烷氧基烷基硅烷:
(8)Si(ORI)4-xRII x
其中RI和RII中的每一个可以是独立选择的烷基,并且x=1-3。在一些实施方案中,RI可以是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RII可以是独立选择的C1-C8烷基配体。
根据一些实施方案,一些Si前体可以包含不含氮且具有以下通式的烷氧基硅烷:
(9)Si(ORI)4-xRII x
其中RI可以是独立选择的烷基,RII可以是包含碳、氢和/或氧但不含氮的任何配体,并且x=1-3。在一些实施方案中,RI可以是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RII可以包含例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、过氧基、氢过氧基、硫醇、丙烯酸根或甲基丙烯酸根配体。
根据一些实施方案,一些Si前体可以具有以下通式:
(10)Si(ORI)4-xRII x
其中x=0-3,RI可以是独立选择的C1-C7或C1-C5烷基配体,并且RII可以是独立选择的由碳和/或氢和/或氧组成的配体。例如,在一些实施方案中,RII可以是烷氧基烷基。在一些实施方案中,RII可以是例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、过氧基或氢过氧基。在一些实施方案中,例如,RI是甲基,RII是3-甲氧基丙基配体,并且x是1。
根据一些实施方案,一些Si前体可具有以下通式:
(11)(RIO)4-xSi-(RII-O-RIII)x
其中x=0-3,RI和RII中的每一个可以是独立选择的C1-C7或C1-C5烷基配体,并且RIII可以是独立选择的由碳和/或氢和/或氧组成的配体。例如,在一些实施方案中,RIII可以是例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、过氧基或氢过氧基。在一些实施方案中,例如,RI、RII和RIII可各自为独立地选自甲基、乙基、异丙基、正丙基、正丁基、异丁基和叔丁基的基团。
根据一些实施方案,一些Si前体可具有以下通式:
(12)Si(RI)4-x-yRII xRIII y
其中x+y=0-4,RI是具有1至5个碳原子的醇盐配体、或卤化物,RII是包含硫的任何配体,并且RIII由巯基、硫化物、二硫化物、亚磺酰基、磺酰基、亚磺基、磺基、硫氰酸根、异硫氰酸根或硫代羰基官能团中的一个组成。在一些实施方案中,RI、RII和RIII可以各自独立地选择。在一些实施方案中,RI可包含甲氧基配体,RII可包含3-巯基丙基,x=1且y=0。也就是说,在一些实施方案中,一些Si前体可以包含Si(OCH3)3C3H6SH。在一些实施方案中,Si前体可以包含巯基甲基甲基二乙氧基硅烷、3-巯基丙基甲基二甲氧基硅烷和/或3-巯基丙基三乙氧基硅烷
在一些实施方案中,硅前体不含卤素。在一些实施方案中,硅前体不含氮。在一些实施方案中,碳链可以是不饱和的并且包含双碳-碳键。在一些其他实施方案中,碳链可以包含除碳和氢之外的其他原子。根据一些实施方案,合适的硅前体可以至少包含具有通式(1)到(11)中的任一个的化合物。图2说明根据上述式(1)–(11)的合适的Si前体的示例性分子结构。在一些实施方案中,硅前体可以包含双(三乙氧基甲硅烷基)乙烷(BTESE)。在一些实施方案中,硅前体可以包含3-甲氧基丙基三甲氧基硅烷(MPTMS或Si(OCH3)3C3H6OCH3)。在一些实施方案中,硅前体可以包含(3-巯基丙基)三甲氧基硅烷。
在一些实施方案中,适于通过PEALD方法沉积SiOCN的至少一些Si前体具有以下通式:
(13)Si(ORI)4-x(RIINRIIIRIV)x
其中x=1-4,RI可以是独立选择的烷基,RII可以是独立选择的烃基,并且RIII和RIV可以是独立选择的烷基和/或氢。在一些实施方案中,RI和RII是C1-C3烷基配体,例如甲基、乙基、正丙基或异丙基。在一些实施方案中,RI可以是C1-C4烷基配体,例如甲基、乙基、正丙基、异丙基或叔丁基。在一些实施方案中,RII不是C3烃。在一些实施方案中,RII是C1-C2烃或C4-C6烃。在一些实施方案中,RII可以是不饱和烃,例如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。在一些实施方案中,RIII和RIV是氢。在一些实施方案中,RI是甲基,RII是正丙基,RIII是氢,RIV是氢,并且x=1。
例如,Si前体可以具有下式(以更详细的方式书写以显示键合):(RI-O-)4-xSi(-RII-NRIIIRIV)x,其中x=1-4,RI可以是独立选择的烷基,RII可以是独立选择的烃,并且RIII和RIV可以是独立选择的烷基和/或氢。
根据一些实施方案,一些Si前体可具有以下通式:
(14)Si(ORI)4-x-y-z(RIINRIII RIV)xHy(OH)z
其中x=1-4,y=0-3,并且z=0-3,RI和RII可以是独立选择的烷基,RII可以是独立选择的烃,并且RIII和RIV可以是独立选择的烷基和/或氢。在一些实施方案中,RII可以是不饱和烃,例如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
(15)LnSi(ORI)4-x-n(RIINRIII RIV)x
其中n=1-3,x=0-3,RI可以是独立选择的烷基,RII可以是独立选择的烃,并且RIII和RIV可以是独立选择的烷基和/或氢,并且L是独立选择的烷基或卤素。在一些实施方案中,RII可以是不饱和烃,例如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
(16)LnSi(ORI)4-x-y-z-n(RIINRIII RIV)xHy(OH)z
其中n=0-3,x=1-4,y=0-3,z=0-3,RI可以是独立选择的烷基,RII可以是独立选择的烃,并且RIII和RIV可以是独立选择的烷基和/或氢,并且L是独立选择的烷基或卤素。在一些实施方案中,RII可以是不饱和烃,例如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
(17)(RIO)4-xSi(RII-NH2)x
其中x=1-4,RI可以是独立选择的烷基,并且RII可以是独立选择的烃。在一些实施方案中,RI和RII是C1-C3烷基配体,例如甲基、乙基、正丙基或异丙基。在一些实施方案中,RI是甲基,RII是正丙基并且x=1。在一些实施方案中,RII可以是不饱和烃,例如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
(18)(RIO)3Si-RII-NH2
其中RI可以是独立选择的烷基,并且RII可以是独立选择的烃。在一些实施方案中,RI和RII是C1-C3烷基配体,例如甲基、乙基、正丙基或异丙基。在一些实施方案中,RII可以是不饱和烃,例如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
(19)(RIO)4-xSi(-[CH2]n-NH2)x
其中x=1-4,n=1-5,并且RI可以是独立选择的烷基。在一些实施方案中,RI是C1-C4烷基配体,例如甲基、乙基、正丙基或异丙基。在一些实施方案中,RI是甲基,并且x=1。
在一些实施方案中,硅前体不含卤素。在一些实施方案中,硅前体可以包含至少一个氨基烷基配体。根据一些实施方案,合适的硅前体可以包含至少一个通过碳与硅键合并且含有至少一个附连至碳链的NH2基团的配体,例如氨基烷基配体。根据一些实施方案,合适的硅前体可以包含至少一个通过碳与硅键合并且含有附连至碳链的NH2基团的配体,例如氨基烷基配体,并且还可以包含至少一个通过氧原子键合到硅并且其中烷基键合到氧的配体,例如醇盐配体。根据一些实施方案,合适的硅前体可以包含至少一个通过碳与硅键合并且含有至少一个NRIIIRIV基团的配体,其中RIII和RIV可以是独立选择的附连至碳链的烷基和/或氢,例如氨基烷基配体。根据一些实施方案,合适的硅前体可以包含至少一个通过碳与硅键合的配体,并且在该配体中,至少一个氮与碳键合。此外,通过碳与硅键合并且其中至少一个氮与碳键合的一个配体可以包含与氮键合的氢。根据一些实施方案,除了通过碳与硅键合的配体之外,合适的硅前体还可以包含烷氧基配体,例如甲氧基、乙氧基、正丙氧基、异丙氧基或叔丁氧基配体。根据包括上述一些式的一些实施方案,合适的硅前体包含通过碳与硅键合的碳链,并且其中存在附连至碳链的氨基例如烷基氨基或–NH2基团,并且碳链是直链、支链或环状的仅含碳和氢的C1-C6烃、C2-C6烃或C2-C4烃。在一些实施方案中,碳链可以是不饱和的并且包含双碳-碳键。在一些其他实施方案中,碳链可以包含除碳和氢之外的其他原子。
根据一些实施方案,合适的硅前体可以至少包含具有通式(13)到(19)中的任一个的化合物。在一些实施方案中,卤化物/卤素可以包括F、Cl、Br和I。在一些实施方案中,硅前体可以包含(3-氨基丙基)三甲氧基硅烷(APTMS)。
在一些实施方案中,在ALD阶段期间,多于一种硅前体可同时接触衬底表面。在一些实施方案中,硅前体可包含多于一种的本文所述的硅前体。在一些实施方案中,在第一ALD循环中使用第一硅前体,并且在稍后的ALD循环中使用第二不同的ALD前体。在一些实施方案中,可以在单个ALD阶段期间使用多种硅前体,例如以便优化所沉积的SiOCN膜的某些性质。在一些实施方案中,在沉积期间仅有一种硅前体可以接触衬底。在一些实施方案中,在沉积过程中可能只有一种硅前体和一种第二反应物或第二反应物的组合物。在一些实施方案中,在沉积过程中不存在金属前体。在一些实施方案中,硅前体不用作甲硅烷基化剂。在一些实施方案中,选择硅前体接触步骤的沉积温度和/或持续时间,使得硅前体不分解。在一些实施方案中,硅前体可能在硅前体接触步骤期间分解。在一些实施方案中,硅前体不含卤素,例如氯或氟。
在一些实施方案中,在ALD阶段期间,多于一种硅前体可同时接触衬底表面。在一些实施方案中,硅前体可包含多于一种的本文所述的硅前体。在一些实施方案中,在第一ALD循环中使用第一硅前体,并且在稍后的ALD循环中使用第二不同的ALD前体。在一些实施方案中,可以在单个ALD阶段期间使用多种硅前体,例如以便优化所沉积的SiOC膜的某些性质。在一些实施方案中,在沉积期间仅有一种硅前体可以接触衬底。在一些实施方案中,在沉积过程中可能只有一种硅前体和一种第二反应物或第二反应物的组合物。在一些实施方案中,在沉积过程中不存在金属前体。在一些实施方案中,硅前体不用作甲硅烷基化剂。在一些实施方案中,选择硅前体接触步骤的沉积温度和/或持续时间,使得硅前体不分解。在一些实施方案中,硅前体可能在硅前体接触步骤期间分解。在一些实施方案中,硅前体不含卤素,例如氯或氟。
第二反应物
如上所讨论,根据本公开的用于沉积SiOC的第二反应物可以包含无氧等离子体。等离子体可以通过将等离子体功率施加到不含氧的气体而生成。在一些实施方案中,第二反应物可以包含氢前体,其可以包含反应性物质。在一些实施方案中,反应性物质包括但不限于自由基、等离子体和/或激发的原子或物质。此类反应性物质可以通过例如等离子体放电、热线或其他合适的方法生成。在一些实施方案中,反应性物质可以远离反应室而生成,例如在反应室上游(“远程等离子体”)。在一些实施方案中,反应性物质可以在反应室中、紧邻衬底或在衬底正上方生成(“直接等离子体”)。
PEALD方法的合适的等离子体组合物包含氢反应性物质,即以一种或另一种形式的等离子体、氢自由基或原子氢。在一些实施方案中,第二反应物包含通过在包含氢的气体中形成等离子体而生成的反应性物质。在一些实施方案中,该气体包含氢而不含氧。在一些实施方案中,第二反应物可以包含至少部分地由H2形成的反应性物质。在一些实施方案中,等离子体还可以包含等离子体形式的、自由基形式的或原子形式的惰性气体,诸如He、Ne、Ar、Kr和Xe,或者Ar或He。在一些实施方案中,等离子体在包含H2的气体中生成。在一些实施方案中,气体包含H2和Ar。
在一些实施方案中,第二反应物可以包含由H2形成的反应性物质。在一些实施方案中,第二反应物可以由包含多于约25原子%(at%)的氢、多于约50at%的氢、多于约75at%的氢、多于约85at%的氢、多于约90at%的氢、多于约95at%的氢、多于约96at%、97at%、98at%或多于约99at%的氢的气体生成。
在一些实施方案中,用于生成反应性物质例如等离子体的气体可以基本上由氢组成。因此,在一些实施方案中,第二反应物可以基本上由氢等离子体、氢自由基或原子氢组成。在一些实施方案中,第二反应物可包含多于约25at%的氢、多于约50at%的氢、75at%、多于约85at%、多于约90at%、多于约95at%、多于约96at%、97at%、98at%或多于约99at%的氢等离子体、氢自由基或原子氢。在一些实施方案中,第二反应物可以至少部分地由H2和一种或多种其他气体形成,其中H2和一种或多种其他气体以约1:1000至约1000:1或更大的流量比(H2/一种或多种其他气体)提供。在一些实施方案中,该流量比(H2/一种或多种其他气体)可以大于约1:1000、大于约1:100、大于约1:50、大于约1:20、大于约1:10、大于约1:6、大于约1:3、大于约1:1、大于约3:1、大于约6:1、大于约10:1、大于约20:1、50:1、100:1或1000:1或更大。
在一些实施方案中,第二反应物不含由氧生成的任何物质。因此,在一些实施方案中,反应性物质不由含氧的气体生成。在一些实施方案中,包含反应性物质的第二反应物由不含氧的气体生成。例如,在一些实施方案中,第二反应物可以包括由不含氧的气体生成的等离子体。在一些其他实施方案中,第二反应物可由含有少于约50原子%(at%)的氧、少于约30at%的氧、少于约10at%的氧、少于约5at%的氧、少于约1at%的氧、少于约0.1at%的氧、少于约0.01at%的氧或少于约0.001at%的氧的气体生成。在一些实施方案中,第二反应物不含O2、H2O或O3
在一些实施方案中,氢等离子体可以不含或基本上不含含氧物质(例如氧离子、自由基、原子氧)。例如,不使用含氧的气体来生成氢等离子体。在一些实施方案中,在氢等离子体步骤期间,含氧的气体(例如O2气体)不流入反应室。
在一些实施方案中,不使用含氧的气体来生成氢等离子体。在一些实施方案中,在氢等离子体步骤期间,含氧的气体(例如O2气体)不流入反应室。
在一些实施方案中,第二反应物不含由氮生成的任何物质。因此,在一些实施方案中,反应性物质不由含氮的气体生成。在一些实施方案中,包含反应性物质的第二反应物由不含氮的气体生成。例如,在一些实施方案中,第二反应物可以包括由不含氮的气体生成的等离子体。在一些实施方案中,第二反应物可以由含有小于约25原子%(at%)的氮、小于约20at%的氮、小于约15at%的氮、小于约10at%的氮、小于约5at%的氮、小于约1at%的氮、小于约0.1at%的氮、小于约0.01at%的氮或小于约0.001at%的氮的气体生成。在一些实施方案中,第二反应物不含N2、NH3或N2H4
在一些实施方案中,氢等离子体可以不含或基本上不含含氮物质(例如氮离子、自由基、原子氮)。例如,不使用含氮的气体来生成氢等离子体。在一些实施方案中,在氢等离子体步骤期间,含氮的气体(例如N2气体)不流入反应室。
然而,在一些其他实施方案中,还提供了一种或另一种形式的等离子体、氮自由基或原子氮形式的氮反应性物质。因此,在一些实施方案中,第二反应物可以包含由同时具有N和H的化合物(例如NH3和N2H4)、或N2/H2的混合物或具有N-H键的其他前体形成的反应性物质。在一些实施方案中,第二反应物可以至少部分地由N2形成。在一些实施方案中,第二反应物可以至少部分地由H2和N2形成,其中H2和N2以约100:1至约1:100、约20:1至约1:20、约10:1至约1:10、约5:1至约1:5和/或约2:1至约4:1并且在一些情况下1:1的流量比(H2/N2)提供。例如,用于沉积SiOC的含氢等离子体可以使用本文所述的一种或多种比率的N2和H2来生成。
在一些实施方案中,用于生成反应性物质(例如等离子体)的气体可基本上由氩或另一惰性气体组成。在一些实施方案中,用于生成含氢等离子体的等离子体功率可以为约5瓦(W)至约5000W、10W至约2,000W、约50W至约1000W、约100W至约1000W或约100W至约500W。在一些实施方案中,用于生成含氢等离子体的等离子体功率可以为约100W至约300W。在一些实施方案中,含氢等离子体还可以包含氩或另一种惰性气体。
SiOC膜特性
根据本文讨论的一些实施方案沉积的SiOC薄膜可以实现低于约3at%、低于约1at%、低于约0.5at%或低于约0.1at%的杂质水平或浓度。在一些薄膜中,不包括氢的总杂质水平可以低于约5at%、低于约2at%、低于约1at%或低于约0.2at%。并且在一些薄膜中,氢水平可以低于约30at%、低于约20at%、低于约15at%或低于约10at%。如本文所用,杂质可以被认为是除Si、O和/或C以外的任何元素。在一些实施方案中,薄膜不含氩。
在一些实施方案中,沉积的SiOC膜不含可观量的氢。然而,在一些实施方案中,沉积包含氢的SiOC膜。在一些实施方案中,沉积的SiOC膜包含小于约30at%、小于约20at%、小于约15at%、小于约10at%或小于约5at%的氢。在一些实施方案中,薄膜不含氩。
如本文所用,术语阶梯覆盖率是指沉积在三维特征的垂直表面上的膜的平均厚度除以沉积在三维特征的水平表面上的膜的平均厚度。如上文所述,根据一些实施方案,可以通过在PEALD方法中选择适当的等离子体功率以生成第二反应物来控制所形成或沉积的SiOC膜的阶梯覆盖率。在一些实施方案中,可以从给定的范围(例如50W至1000W)中选择等离子体功率以实现具有期望阶梯覆盖率的SiOC膜。在一些实施方案中,对于纵横比为约1至约3的三维特征而言,较高的等离子体功率可产生具有高阶梯覆盖率的SiOC膜
如本文所用,术语湿法蚀刻速率比(WERR)是指沉积在三维特征的垂直表面上的膜的湿法蚀刻速率与沉积在特征的水平表面上的膜的湿法蚀刻速率之比。如上文所述,根据一些实施方案,可以通过在PEALD方法中选择适当的等离子体功率以生成第二反应物来控制所形成或沉积的SiOC膜的WERR。在一些实施方案中,可以从给定的范围(例如50W至1000W)中选择等离子体功率以实现具有期望WERR的SiOC膜。在一些实施方案中,对于纵横比为约1至约3的三维特征而言,较高的等离子体功率可产生具有较低WERR的SiOC膜。
另外,如上所讨论的,WER和WERR可以用沉积后等离子体处理进行修改。在一些实施方案中,沉积在三维结构上的SiOC膜用氮或氧等离子体处理以改善侧壁上的WER。
在沉积的SiOC膜经受诸如湿法蚀刻之类的蚀刻的一些实施方案中,SiOC薄膜可以存在于衬底的垂直表面上,并且可以基本上没有SiOC存在于衬底的水平表面上。在沉积的SiOC膜经受诸如湿法蚀刻之类的蚀刻的一些其他实施方案中,SiOC薄膜可以存在于衬底的水平表面上,并且可以基本上没有SiOC存在于衬底的垂直表面上。
根据一些实施方案,SiOC薄膜可以表现出大于约50%、大于约80%、大于约90%或大于约95%的阶梯覆盖率和图案负载效应。在一些情况下,阶梯覆盖率和图案负载效应可大于约98%并且在一些情况下约100%(在测量工具或方法的精确度内)。在一些实施方案中,阶梯覆盖率和图案负载效应可以大于约100%、大于约110%、大于约120%、大于约130%或大于约140%。在一些实施方案中,阶梯覆盖率和图案负载效应可以大于约200%、300%、500%、700%、1000%或更大。
如上所述,在一些实施方案中,阶梯覆盖率可以通过沉积后等离子体处理而增加,其中三维结构上的SiOC膜与氢等离子体接触。在一些情况下,沉积后等离子体处理可以例如将阶梯覆盖率从小于100%增加到大于100%,甚至大于150%。
如本文所用,“图案负载效应”根据其在本领域中的普通含义使用。尽管可以看到关于杂质含量、密度、电性质和蚀刻速率方面的图案负载效应,但是除非另有指明,否则术语图案负载效应在本文中使用时是指存在结构的衬底区域中的膜厚度变化。因此,图案负载效应可以相对于面向开放场的三维结构/特征的侧壁或底部上的膜厚度以三维结构内的特征的侧壁或底部中的膜厚度给出。如本文所用,100%的图案负载效应(或1的比率)将代表整个衬底中几乎完全均匀的膜性质,而不管特征如何,即,换句话说,不存在图案负载效应(在特征与开放场中,特定膜性质例如厚度的变异)。
在一些实施方案中,膜在衬底的垂直和/或水平表面上的生长速率为约
Figure BDA0003633459030000341
/循环至约
Figure BDA0003633459030000342
/循环、约
Figure BDA0003633459030000343
/循环至约
Figure BDA0003633459030000344
/循环。在一些实施方案中,膜的生长速率大于约
Figure BDA0003633459030000345
/循环、大于约
Figure BDA0003633459030000346
/循环、大于约
Figure BDA0003633459030000347
/循环、大于约
Figure BDA00036334590300003410
/循环、大于约
Figure BDA0003633459030000349
/循环、大于约
Figure BDA0003633459030000348
/循环。在一些实施方案中,膜在一个表面(例如三维特征的垂直表面)上的生长速率可能不同于膜在不同表面(例如水平表面)上的生长速率。
在一些实施方案中,将SiOC膜沉积在垂直和/或水平表面上达到约3nm至约50nm、约5nm至约30nm、约5nm至约20nm的厚度。在一些实施方案中,沉积的SiOC膜的各部分的厚度可以通过如上文所述选择适当的等离子体功率和/或通过SiOC膜的沉积后等离子体处理来控制。例如,在一些实施方案中,可以控制沉积在衬底的水平表面上的SiOC膜的厚度以实现期望的值,同时可以控制沉积在衬底的垂直表面上的SiOC膜的厚度以实现第二不同的期望值。这些厚度可以在低于约100nm、约50nm、低于约30nm、低于约20nm并且在一些情况下低于约15nm的特征尺寸(宽度)下实现。根据一些实施方案,SiOC膜被沉积在三维结构上,并且侧壁处的厚度可以甚至略大于10nm。在一些实施方案中,可以沉积大于50nm的SiOC膜。在一些实施方案中,可以沉积大于100nm的SiOC膜。在一些实施方案中,SiOC膜被沉积至大于约1nm、大于约2nm、大于约3nm、大于约5nm、大于约10nm的厚度。
在一些实施方案中,如本文所述的用氢等离子体对SiOC膜进行沉积后处理可以减小沟槽的顶部表面上的厚度,而增加沟槽的侧壁和底部处的厚度。
根据一些实施方案,具有各种湿法蚀刻速率(WER)的SiOC膜可以通过如本文所述的受控沉积方法沉积在衬底的水平和/或垂直表面上。在一些实施方案中,通过如上文所述选择适当的等离子体功率,可以将沉积的SiOC膜的不同部分的WER控制成不同的。例如,在一些实施方案中,可以控制沉积在衬底的水平表面上的SiOC膜的WER以实现期望的值,同时可以控制沉积在衬底的垂直表面上的SiOC膜的WER以实现第二不同的期望值。当使用在0.5重量%dHF(nm/min)中的毯式蚀刻时,SiOC膜的一部分可具有小于约5、小于约4、小于约2或小于约1的WER值。在一些实施方案中,SiOC膜可具有显著小于1的WER值。在一些实施方案中,SiOC膜可具有小于约0.3、小于约0.2或小于约0.1的WER值。在一些实施方案中,SiOC膜可具有小于约0.05、小于约0.025或小于约0.02的WER值。在一些实施方案中,沉积的膜可具有在三维特征的垂直表面上约0.1至约15的WER和在三维特征的水平表面上大大约10%、25%、50%、100%、250%、500%或1000%的WER。在一些实施方案中,沉积的膜可具有在三维特征的垂直表面上约0.1至约15的WER和在三维特征的水平表面上小约10%、25%、50%或100%的WER。
相对于热氧化物的WER,在0.5重量%dHF中的毯式WER(nm/min)可以小于约3、小于约2、小于约1或小于约0.5。在一些实施方案中,相对于TOX的WER,在0.5重量%dHF中的毯式WER可以小于约0.4、0.3、0.2或0.1。
在PEALD方法在低于约100℃的温度下进行的一些实施方案中,相对于热氧化物的WER,在0.5重量%dHF中的毯式WER(nm/min)可以小于约10、小于约5、小于约3、小于约2或小于约1。
在一些实施方案中,如上文所述,可通过基于待沉积SiOC膜的三维特征的纵横比选择适当的等离子体功率来控制膜的各部分的蚀刻速率。因此,在一些实施方案中,沉积在垂直表面(例如三维特征的侧壁)上的SiOC膜的蚀刻速率(例如WER)与沉积在水平表面(例如三维特征的顶部表面)上的SiOC膜的蚀刻速率之比(WERR)可以如本文所述通过选择适当的等离子体功率来控制。在一些实施方案中,可以选择等离子体功率以实现在0.5重量%dHF中沉积在衬底的垂直表面上的SiOC膜的蚀刻速率与沉积在衬底的水平表面上的SiOC膜的蚀刻速率之比为约0.1至约20、约0.2至约15、约0.5至约10、约1至约2、约2至约5、约5至约10、约10至约20,或在一些情况下大于或等于约20。
并且在一些实施方案中,在0.5重量%dHF中,侧壁蚀刻速率(例如,沉积在基本上垂直的三维特征如鳍条或沟槽上的SiOC膜的WER)相对于沉积在基本上水平的表面(诸如,如鳍条或沟槽的三维特征的顶部表面)上的SiOC膜的蚀刻速率的比率(WERR)可以为约1至约2、约2至约5、约5至约10、约10至约20,或在一些情况下大于或等于约20。在一些实施方案中,沉积在三维特征的垂直表面上的SiOC膜的WER与沉积在三维特征的顶部表面上的SiOC膜的WER的比率(WERR)可以等于或大于约2、等于或大于约5、等于或大于约10、等于或大于约15或者等于或大于约20。
在一些实施方案中,沉积在三维特征的基本上垂直的表面(例如侧壁表面)上或中的SiOC膜的WER与沉积在三维特征的基本上水平的表面(例如顶部表面)上或中的SiOC膜的WER的比率(WERR)可以为约1至约0.5、约0.5至约0.2、约0.2至约0.1、约0.1至约0.05或在一些情况下小于约0.05。在一些实施方案中,沉积在三维特征的基本上垂直的表面上的SiOC膜的WER与沉积在三维特征的基本上水平的表面上的SiOC膜的WER的比率(WERR)可以等于或小于约0.5、等于或小于约0.2、等于或小于约0.1或者等于或小于约0.05。
在一些实施方案中,沉积在三维特征的基本上垂直的表面(例如侧壁表面)上或中的SiOC膜的WER与TOX的WER的比率可以介于约5至约10之间、介于约2至约5之间、介于约1至约2之间、介于约0.5至约1之间或介于约0.1至约0.5之间。在一些实施方案中,沉积在三维特征的基本上垂直的表面(例如侧壁表面)上或中的SiOC膜的WER与TOX的WER的比率可以大于或等于约0.1、大于或等于约0.5、大于或等于约1、大于或等于约2、大于或等于约5或者大于或等于约10。
在一些实施方案中,例如在0.5重量%dHF中,根据本文所述的一种或多种方法形成的SiOC可以有利地表现出为约1的基本上垂直的区域的WER与基本上水平的区域的WER之比(WERR)。例如,在衬底表面上的三维结构的基本上垂直的表面(例如,侧壁表面)上形成的SiOC薄膜的湿法蚀刻速率与在基本上水平的表面(例如,顶部表面)上形成的SiOC薄膜的湿法蚀刻速率之比(WERR)可以是相同的或基本上相同的。在一些实施方案中,该比率可以为约4至约0.5、约2至约0.75、约1.25至约0.8或约1.1至约0.9。这些比率可以在纵横比为约1.2或更大、约1.5或更大、约1.8或更大、约2或更大、约3或更大、约5或更大或甚至约8或更大的特征中实现。
在一些实施方案中,例如,在三维特征或其一部分设置在衬底的基本上平坦或开放部分附近或与之相邻的情况下,纵横比可以被认为是三维特征或部分的垂直或侧面部分与三维特征或部分的顶部部分之比,并且可以不考虑衬底的开放部分的尺寸。也就是说,在一些实施方案中,三维特征或三维特征的一部分的纵横比可以被认为是该特征或部分的高度或深度与该特征或部分的宽度之比,而不考虑衬底的任何相邻开放部分的尺寸。
在一些实施方案中,例如在0.5重量%dHF中,根据本文所述的一种或多种方法形成的SiOC可以有利地表现出约1的垂直区域与水平区域WERR。例如,在衬底表面上的三维结构的水平表面(例如顶部表面)上形成的SiOC薄膜的湿法蚀刻速率与在垂直表面(例如侧壁表面)上形成的SiOC薄膜的湿法蚀刻速率之比可以是相同的或基本上相同的。在一些实施方案中,该比率可以为约0.25至约2、约0.5至约1.5、约0.75至约1.25或约0.9至约1.1。这些比率可以在纵横比为约1.2或更大、约1.5或更大、约1.8或更大、约2或更大、约3或更大、约5或更大或甚至约8或更大的特征中实现。
在一些实施方案中,在0.5重量%HF浸渍法中,根据本公开的SiOC膜的蚀刻量可以是针对热SiO2(TOX)所观察到的蚀刻量的约1/2、1/3、1/6、1/11(例如,在去除约2至约3nmTOX的方法中,当根据本文公开的方法沉积时,去除1/2、1/3、1/6、1/11的SiOC)。
在一些实施方案中,可以在0.5重量%HF浸渍法中以5分钟的蚀刻时间去除小于约2nm的SiOC膜。在一些实施方案中,可以在0.5重量%HF浸渍法中以60分钟的蚀刻时间去除小于约2nm的SiOC膜。
在一些实施方案中,在0.5重量%HF浸渍法中,根据本公开的SiOC膜的蚀刻量可以是针对热SiO2(TOX)所观察到的蚀刻量的约1/2、1/3、1/6、1/11(例如,在去除约2至约3nmTOX的方法中,当根据本文公开的方法沉积时,去除1/2、1/3、1/6、1/11的SiOC)。
在一些实施方案中,可以在0.5重量%HF浸渍法中以5分钟的蚀刻时间去除小于约2nm的SiOC膜。在一些实施方案中,可以在0.5重量%HF浸渍法中以60分钟的蚀刻时间去除小于约2nm的SiOC膜。
为简单起见,除非另有指明,否则本文所提供的所有原子百分比(即at%)值不包括氢,因为难以准确地以定量方式分析氢。然而,在一些实施方案中,如果有可能以合理的精确度分析氢,那么膜的氢含量小于约20at%、小于约10at%或小于约5at%。在一些实施方案中,按原子计(at%),沉积的SiOC薄膜可以包含最高约70%的氧。在一些实施方案中,按原子计,SiOC膜可以包含约10%至约70%、约15%至约50%或约20%至约40%的氧。在一些实施方案中,按原子计,SiOC膜可以包含至少约20%、约40%或约50%的氧。
在一些实施方案中,按原子计(at%),沉积的SiOC薄膜可以包含最高约40%的碳。在一些实施方案中,按原子计,SiOC膜可以包含约0.1%至约40%、约0.5%至约40%、约1%至约30%或约5%至约20%的碳。在一些实施方案中,按原子计,SiOC膜可以包含至少约1%、约10%或约20%的碳。
在一些实施方案中,按原子计(at%),沉积的SiOC薄膜可以包含最高约50%的硅。在一些实施方案中,按原子计,SiOC膜可以包含约10%至约50%、约15%至约40%或约20%至约35%的硅。在一些实施方案中,按原子计,SiOC膜可以包含至少约15%、约20%、约25%或约30%的硅。
在一些实施方案中,按原子计(at%),沉积的SiOC薄膜可以包含最高约40%的硫。在一些实施方案中,按原子计,SiOC膜可以包含约0.01%至约40%、约0.1%至约40%、约0.5%至约30%或约1%至约20%的硫。在一些实施方案中,按原子计,SiOC膜可以包含至少约1%、约10%或约20%的硫。在一些实施方案中,沉积的SiOC膜不含可观量的氮。然而,在一些实施方案中,沉积包含氮的SiOC膜。在一些实施方案中,沉积的SiOC膜包含小于约30at%、小于约20at%、小于约15at%、小于约10at%、小于约5at%的氮、小于约1%的氮或小于约0.1at%的氮。在一些实施方案中,SiOC薄膜不含氮。
如上文所讨论,在一些实施方案中,SiOC膜可以包含Si-C键和/或Si-O键。在一些实施方案中,SiOC膜可以另外包含Si-N键。在一些实施方案中,SiOC膜可以另外包含Si-S键。在一些实施方案中,SiOC膜可以包含Si-C键和Si-O键并且可以不含Si-N键。在一些实施方案中,SiOC膜可以包含Si-N键和Si-O键并且可以不含Si-C键。在一些实施方案中,SiOC膜可以包含Si-N键和Si-C键并且可以不含Si-O键。在一些实施方案中,SiOC膜可以包含Si-S键、Si-C键和Si-O键并且可以不含Si-N键。在一些实施方案中,SiOC膜可以包含Si-S键和Si-C键,并且可以不含Si-O键。在一些实施方案中,SiOC膜可以包含Si-S键和Si-O键并且可以不含Si-C键。在一些实施方案中,SiOC膜可以包含比Si-C键更多的Si-O键,例如Si-O键与Si-C键之比可以是约1:1至约10:1。在一些实施方案中,沉积的SiOC膜可以包含SiN、SiO、SiC、SiCN、SiON、SiOSC、SiSC、SiOS和/或SiOC中的一种或多种。
在一些实施方案中,SiOC膜不是低k膜,例如SiOC膜不是多孔膜。在一些实施方案中,SiOC是连续膜。在一些实施方案中,SiOC膜具有小于约10的k值。在一些实施方案中,SiOC膜具有小于约7的k值。在一些实施方案中,SiOC膜具有约2至约10的k值。在一些实施方案中,SiOC膜具有小于约5.0、小于约4.5、小于约4.3、小于约4.1的k值。在一些实施方案中,SiOC膜具有约3.0至约7、约3.0至约5.5、约3.0至约5.0、约3.5至约4.8、约3.5至约4.7的k值。在一些实施方案中,SiOC膜具有比任何低k膜的k值更大的k值。在一些实施方案中,SiOC膜具有比纯SiO2更大的k值。
在一些实施方案中,根据本公开沉积的SiOC膜不含层压体或纳米层压体结构。
在一些实施方案中,根据本公开沉积的SiOC膜不是自组装单层(SAM)。在一些实施方案中,根据本公开沉积的SiOC膜不由彼此不结合的分开的单独分子组成。在一些实施方案中,根据本公开沉积的SiOC膜包含基本上结合或连接在一起的材料。在一些实施方案中,根据本公开沉积的SiOC膜不是功能层,不是氨基官能化的和/或不用作功能表面。在一些实施方案中,根据本公开沉积的SiOC膜不以–NH2基团封端。在一些实施方案中,根据本公开沉积的SiOC膜不含大量的–NH2基团。
另外的膜材料
在一些实施方案中,包含SiOC之外的材料的薄膜的形成可以通过如本文所述的方法沉积和/或控制。例如,在一些实施方案中,金属氧化物薄膜和金属氧化物膜的形成可以如本文所述通过不包括氧等离子体或激发氧物质的PEALD方法来控制。在这些实施方案中,使用不同的金属前体代替如本文所述的方法中的硅前体。在一些实施方案中,氧化钛、氧化铌、氧化钽、氧化钨、TiO(CN)和/或氧化铝薄膜的形成可以通过如本文所述的PEALD方法来控制。在一些实施方案中,氧化钛、TiO(CN)、氧化铌、氧化钽、氧化钨和/或氧化铝薄膜可通过如本文所述的PEALD方法沉积。
在一些实施方案中,金属氧化物膜可以包含多于一种金属氧化物。不同的金属可以由相同的前体或者通过在一个或多个沉积循环中提供的两种或更多种不同的金属前体来提供。
在一些实施方案中,包含硅和金属两者的氧化物膜可以如本文所述通过不包括氧等离子体或激发氧物质的PEALD方法来沉积。在一些实施方案中,沉积包含金属和硅两者的氧化物。在一些实施方案中,氧化物膜可以包含金属氧化物和氧化硅的混合物。在一些实施方案中,氧化物膜可以包含金属硅酸盐。例如,膜可以包含氧化硅和一种或多种过渡金属氧化物,ZrO2、HfO2或TiO2、Al2O3、镧系元素(+Sc+Y)氧化物、Ta2O5或Nb2O5。
在一些实施方案中,在如本文所述的方法中使用金属前体连同硅前体。在一些实施方案中,用于沉积金属氧化物的沉积循环和用于沉积氧化硅的沉积循环可以在沉积过程中以所选的比率提供,以便沉积具有所需组成的膜。
在一些实施方案中,沉积过程可以包括单个沉积循环,该单个沉积循环包括使衬底与第一金属前体、第二硅前体和第三等离子体反应物交替且依次地接触。金属和硅前体以及第三反应物可以如本文所述用于金属氧化物和硅氧化物的沉积。沉积循环可以从提供金属反应物、提供硅反应物或提供第三反应物开始。如本文所述,每种反应物的提供可由吹扫步骤分开,在吹扫步骤中从反应空间去除过量的反应物和反应副产物。在一些实施方案中,选择和/或调节金属前体和硅前体的比率以提供具有所需组成的混合金属氧化物膜。
在一些实施方案中,用于通过本文所述的方法沉积包含金属氧化物的膜的金属前体可以包含含有所需金属和氧的挥发性化合物。表1中提供了通过如本文所述的不包括氧等离子体或激发氧物质的PEALD方法来沉积金属氧化物膜所用的金属前体的列表。
前体化合物 膜材料
乙醇铝(III) Al<sub>2</sub>O<sub>3</sub>
乙醇铌(V) Nb<sub>2</sub>O<sub>5</sub>
乙醇钽(V) Ta<sub>2</sub>O<sub>5</sub>
乙醇钛(IV) TiO<sub>2</sub>
甲醇钛(IV) TiO<sub>2</sub>
异丙醇钛(IV)(TTIP) TiO(CN)
三甲氧基五甲基环戊二烯基钛 TiO<sub>2</sub>
W(thd)4 WO<sub>x</sub>
表1:用于沉积金属氧化物薄膜的前体
在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体可以包含下式的挥发性化合物:
M(ORI)x-yRII y
其中RI可以是独立选择的烃基,并且其中M是金属或Ge,例如过渡金属或Ge、Al、Ga、In、Sn、Pb、Bi、Sb,其中x+y等于氧化态或金属原子的键合数,例如3、4、5或6。在其中存在金属原子的双键或三键的一些实施方案中,当确定x+y的值时,每个双键或三键可以被计算两次或三次。在一些实施方案中,RII可以是包含碳、氢、氮、卤素和/或氧的任何配体。
在一些实施方案中,M是选自以下各项的过渡金属:Ti、V、Cr、Mn、Zr、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir和Pt。在一些实施方案中,M是选自以下各项的过渡金属:Cu、Fe、Co、Ni。在一些实施方案中,M是选自以下各项的过渡金属:Au、Pt、Ir、Pd、Os、Ag、Re、Rh和Ru。在一些实施方案中,RI可以是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基配体。在一些实施方案中,RI可以包含氧或氮或另一种杂原子。在一些实施方案中,RII可以包含例如烯基、炔基、环烃、胺、烷基胺、苯基、羰基、醛、酯、醚、羧基、过氧基、氢过氧基、硫醇、丙烯酸根或甲基丙烯酸根配体。在一些实施方案中,上式的至少一种配体包含氧。在一些实施方案中,M也可以是1或2族金属元素。
在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体可以包含下式的挥发性化合物:
M(ORI)x
其中RI可以是独立选择的烷基,并且其中M是金属或Ge,例如过渡金属或Ge、Al、Ga、In、Sn、Pb、Bi、Sb,并且其中x等于氧化态或金属原子的键合数,例如3、4、5或6。在其中存在金属原子的双键或三键的一些实施方案中,当确定x的值时,每个双键或三键可以被计算两次或三次。在一些实施方案中,RI可以是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基配体。在一些实施方案中,M是选自以下各项的过渡金属:Ti、V、Cr、Mn、Zr、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir和Pt。在一些实施方案中,M是选自以下各项的过渡金属:Cu、Fe、Co、Ni。在一些实施方案中,M是选自以下各项的过渡金属:Au、Pt、Ir、Pd、Os、Ag、Re、Rh和Ru。在一些实施方案中,M可以是稀土元素,例如Sc、Y、La、Ce或Nd。
在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体可包含至少一种多齿配体,例如二齿配体,例如β二酮配体(acac、thd)或通过至少一个氧与金属原子键合的另一种多齿/二齿配体。在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体可以包含环状配体,例如环戊二烯基配体。
在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体可以包含醇盐前体或醇盐配体。在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体包含至少一个金属-氧键。在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体不含金属-氧键,但在配体中包含氧。在一些实施方案中,金属前体包含金属或Ge,例如过渡金属或Ge、Al、Ga、In、Sn、Pb、Bi、Sb。在一些实施方案中,金属前体包含第1族或第2族金属元素。在一些实施方案中,M可以是稀土元素,例如Sc、Y、La、Ce或Nd。
在一些实施方案中,根据本文所述的方法,金属氧化物膜可以沉积在包含光致抗蚀剂或其他有机材料的衬底上。在一些实施方案中,可以将金属氧化物膜沉积在衬底上,否则该衬底可能会被包括氧等离子体的PEALD方法破坏。
在一些实施方案中,根据如本文所述的PEALD方法沉积的金属氧化物膜可以具有比通过包括氧等离子体或激发氧物质的PEALD方法沉积的类似金属氧化物膜的湿法蚀刻抗性高的湿法蚀刻抗性。如本文所述,在一些实施方案中,可以通过在PEALD方法中从一定范围(例如,如本文关于SiOC的沉积所描述的范围)内选择等离子体功率来控制金属氧化物膜的形成,以便实现期望的阶梯覆盖率和/或WERR。也就是说,在一些实施方案中,用于控制如本文所述的SiOC膜形成的方法条件可用于控制金属氧化物膜的形成。
在一些实施方案中,用于沉积金属氧化物薄膜的PEALD方法中使用的第二反应物与本文关于SiOC沉积所述的第二反应物相同。在一些实施方案中,第二反应物包括在包含惰性气体如Ar的气体中生成的等离子体。如上所述,在一些实施方案中,第二反应物是在不含氧的气体中生成的等离子体。在一些实施方案中,第二反应物包括在Ar中生成的等离子体、在Ar和N2中生成的等离子体或者在Ar和H2中生成的等离子体。在一些实施方案中,可以选择第二反应物来控制金属氧化物膜的特定组分的量,例如碳和/或氮的量。另外,可以控制等离子体功率以调节膜的组成。
在一些实施方案中,包含钛的金属氧化物通过不使用氧等离子体或其他氧反应物的PEALD方法沉积。例如,可以使用异丙醇钛(IV)(TTIP)与非氧等离子体的组合通过PEALD方法来沉积氧化钛膜。在一些实施方案中,TTIP与在Ar中生成的等离子体、在包含Ar和氮的气体中生成的等离子体或者在包含Ar和氢的气体中生成的等离子体相结合地用于PEALD方法。在一些实施方案中,包含碳的氧化钛膜通过PEALD方法沉积,其中诸如TTIP的钛反应物与在惰性气体中(例如在纯Ar气体中)生成的等离子体组合使用。碳的量可以通过改变等离子体功率来调整。在一些实施方案中,包含碳和氮的氧化钛膜(TiO(CN))使用钛反应物例如TTIP和在包含氮的气体中(例如在包含Ar和N2的气体中)生成的等离子体的组合通过PEALD方法沉积。
实施例
根据本文所述的方法使用直接等离子体PEALD反应器沉积样品SiOC膜。使用3-甲氧基丙基(三甲氧基硅烷)(MPTMS)作为硅前体。实验在200℃的沉积温度下进行。由流量为100/1200sccm的由H2/Ar混合物组成的气体生成等离子体。使用的压力为4托,脉冲/吹扫/Rf/吹扫长度为2/4/4/0.5s。具有这些参数的方法的每循环生长(GPC)通常为约
Figure BDA0003633459030000431
/循环。等离子体功率从200W至650W变化。图4是一系列扫描电子显微照片,显示了沉积在包括沟槽图案的衬底上的示例SiOC膜。以200W的等离子体功率沉积的膜包括1500个循环,而以500W和650W的等离子体功率沉积的膜包括2000个循环。
可以看出,等离子体功率对垂直与水平表面GPC的阶梯覆盖率和选择性有影响。通过使用650W的最高功率设置,在沟槽顶部区域不会看到任何膜,在开放空间场区也不会看到任何膜。另一方面,沟槽侧壁上的GPC是相当大的。使用较低的500和200W功率,在顶部和场区中也可以看到膜。阶梯覆盖率还取决于结构纵横比(AR)。图5显示了在使用两种不同的功率设置时这会如何变化。
图6A是通过具有200W等离子体功率的PEALD方法沉积在纵横比为约3的三维特征上的示例SiOC膜的隧道电子显微照片,而图6B是图6A的示例SiOC膜在0.5重量%稀HF溶液中蚀刻2分钟之后的隧道电子显微照片。在结构的侧壁和底部上沉积的SiOC膜被蚀刻掉,而顶部区域中的膜保留。
如从图6C中可以看出的是,并且如在较低纵横比的情况下那样,例如,图5所示的AR 1.4,SiOC膜也可以沉积在结构的顶部和底部区域。随着结构AR的增加,沉积在结构底部的SiOC的WER降低。因此,在蚀刻之后,留下沉积在结构的顶部和底部上的SiOC膜。据发现,GPC和WER在这两个区域中几乎相同。
图7A是通过具有200W等离子体功率且包括400个沉积循环的PEALD方法沉积在纵横比为约1.4的三维特征上的示例SiOC膜的隧道电子显微照片,而图7B是图7A的示例SiOC膜在0.5%稀HF中湿法蚀刻2分钟之后的隧道电子显微照片。
图8A和图8B示出了SiOC膜的纵横比为1(右)和3(左)的沟槽的两个TEM图像,该膜通过如本文所述的具有650W等离子体功率且包括700个沉积循环的PEALD方法沉积。图8A显示了沉积态SiOC膜,而图8B显示了在0.5重量%稀HF中进行2分钟湿法蚀刻之后的图8A的示例SiOC膜。图8C是条形图,显示了在纵横比为约1的三维特征上沉积的示例SiOC膜的不同区域(顶部、侧面、底部)的每循环生长(GPC)和湿法蚀刻速率比(WERR)。侧壁和底部的GPC远高于顶部。图8D示出了沉积在纵横比约为3的三维特征上的示例SSiOC膜在这三个区域中的GPC和WER的差异。在底部区域中,膜以最高的GPC沉积,并且还显示出比顶部和侧壁低得多的WER。该结果表明,通过引入更高的沉积功率和HF蚀刻处理,可以仅在底部上得到膜。并且如图8B所示,顶部区域中的膜可以容易地蚀刻掉,而侧壁和底部上的膜将保留。据发现,膜的WER与热氧化硅的WER的比率远低于顶部和底部区域中的WER。因此,可以通过引入高功率和HF蚀刻而仅在侧壁上得到膜。但它仅限于具有相对低AR(例如低于3)的结构。
使用XPS测量来自使用200W的等离子体功率在200℃和250℃下沉积的样品的样品SiOC膜组成。碳含量很低,约为1-3at%。测得10nm 200℃/200W膜的k值约为4。
图9A是通过包括作为硅前体的MPTMS以及由H2和Ar气体在67W的功率下生成的等离子体的PEALD方法沉积在三维特征上的示例SiOC膜的扫描电子显微照片。沉积温度为200℃,反应室压力为4托。该膜通过1000个沉积循环沉积,该循环包括6/4/4/1秒的MPTMS/吹扫/RF/吹扫时间。图9B是图10的示例SiOC膜在经历0.1重量%稀HF湿法蚀刻之后的扫描电子显微照片。据观察,在蚀刻过程之后,在侧壁上基本上没有膜留下。
图10是通过包括作为硅前体的MPTMS以及由H2和Ar气体在650W的功率下生成的等离子体的PEALD方法沉积在三维特征上的示例SiOC膜的扫描电子显微照片。沉积温度为200℃,反应室压力为4托。该膜通过2000个沉积循环沉积,该循环包括2/4/4/0.5秒的MPTMS/吹扫/RF/吹扫时间。据观察,侧壁上的膜厚度为63nm,而顶部表面上的厚度显著更低,仅为8nm。
在其他实验中,在沉积的SiOC膜上进行沉积后等离子体处理(PT)。基本上如上所述,SiOC在200℃的沉积温度下由3-甲氧基丙基(三甲氧基硅烷)(MPTMS)和氢等离子体沉积在沟槽图案上。对SiOC膜进行沉积后等离子体处理,其中将膜暴露于在334W或835W下在Ar/H2气体中生成的氢等离子体。如下表2中可以看出的是,在等离子体处理之后,沟槽顶部的厚度减小。但是,它在侧壁和底部上增加。阶梯覆盖率从未施加处理时的0.6增加到用334W下生成的等离子体处理后的1,以及从未施加处理时的0.6增加到使用835W功率时的1.7。不希望受到任何理论的束缚,据信,结构顶部上的SiOC膜未被蚀刻掉,而是重新沉积在沟槽的侧壁和底部上。
Figure BDA0003633459030000441
Figure BDA0003633459030000451
表2
另外,如上所述,对沉积在沟槽结构上的SiOC膜进行使用氮、氢和氧等离子体的等离子体后处理(PT)。在与Ar气体混合的H2、O2和N2气体中的每一种中生成等离子体。施加300W的功率并将等离子体处理重复50个循环,脉冲(曝光)时间为6秒,在循环之间吹扫1秒。在用三种等离子体反应物中的每一种进行等离子体处理之后,测量稀HF中的湿法蚀刻速率(WER)。如下表3所示,通过氧等离子体处理和氮等离子体处理改善了侧壁的湿法蚀刻速率,但氢等离子体处理则不。
Figure BDA0003633459030000452
表3
使用异丙醇钛(IV)(TTIP)作为钛前体,通过无氧PEALD方法在直接等离子体PEALD反应器中沉积氧化钛薄膜。TTIP由在70℃下加热的源瓶提供。TTIP反应物与三种不同的等离子体反应物交替且依次提供。在Ar、Ar和N2以及Ar和H2中生成等离子体。实验在200℃的沉积温度下进行。图11A显示了所得膜的折射率。就含H2的等离子体而言,折射率非常接近于TiO2的折射率。然而,含N2的等离子体和纯Ar等离子体显示出不同的折射率,表明变化的膜组成。图11B显示了使用三种不同的等离子体反应物的氧化钛膜的生长速率。
下面的表4显示了来源于RBS和XZPS的组成数据。XPS和RBS都表明由含H2的等离子体沉积了TiO2膜。通过XRD测量未见晶体结构。表明沉积了无定形TiO2。在0.5%dHF溶液中相对于热氧化硅(TOX)的湿法蚀刻速率比(WERR)约为0.5。该低WERR使得膜可用于某些图案化应用。四点探针电阻率测量表明沉积的TiO2膜具有极高的电阻率。
当使用纯Ar等离子体作为等离子体反应物时,所得的膜是富含碳的TiOC膜。碳含量可以通过调整等离子体功率来修改。另外,含N2的等离子体将氮引入膜中,从而产生TiOCN膜。
TTIP+AR等离子体
Ti(%) O(%) C(%) N(%)
RBS 5.6 12.5 81.9 -
XPS 4.3 14.0 81.7 -
TTIP+AR&H等离子体
Ti(%) O(%) C(%) N(%)
RBS 35 65 - -
XPS 33.9 66.1
TTIP+AR&N等离子体
Ti(%) O(%) C(%) N(%)
RBS 9.6 21.5 34.2 34.7
XPS 9.2 20.8 28.9 36.1
表4
如本文所用,术语“约”可指代给定值的15%以内、10%以内、5%以内或1%以内的值。
为简单起见,在本文中使用术语“膜”和“薄膜”。“膜”和“薄膜”意指通过本文中所公开的方法沉积的任何连续或非连续结构和材料。举例来说,“膜”和“薄膜”可以包括2D材料、纳米棒、纳米管或纳米粒子或甚至单个部分或完整分子层或者部分或完整原子层或原子和/或分子簇。“膜”和“薄膜”可以包含具有小孔的材料或层,但仍然是至少部分连续的。
本领域的技术人员应理解,在不脱离本发明的精神的情况下可以进行大量和各种修改。所描述的特征、结构、特性和前体可以以任何合适的方式组合。因此,应清楚地理解,本发明的形式仅是说明性的,并且不打算限制本发明的范围。如由所附权利要求书所限定,所有修改和变化均意图落在本发明的范围内。

Claims (20)

1.一种用于在衬底上形成SiOC薄膜的方法,所述方法通过等离子体增强原子层沉积(PEALD)过程进行,所述PEALD过程包括至少一个沉积循环,所述沉积循环包括:
使所述衬底与气相硅前体接触;和
使所述衬底与第二反应物接触,所述第二反应物包含来自在约50W至约1000W的等离子体功率下在不含氧的气体中生成的等离子体的反应性物质,其中所述硅前体包含氧并且所述沉积循环中使用的其他反应物不含氧。
2.根据权利要求1所述的方法,还包括在使所述衬底与所述气相硅前体接触之后且在使所述衬底与所述第二反应物接触之前去除过量的气相硅前体。
3.根据权利要求1所述的方法,其中重复所述沉积循环以形成所需厚度的SiOC薄膜。
4.根据权利要求1所述的方法,其中所述SiOC薄膜被沉积在所述衬底上的三维特征上,所述三维特征具有水平表面和垂直表面以及1至10的纵横比。
5.根据权利要求4所述的方法,其中选择所述等离子体功率以产生在所述三维特征上具有约20%或约1000%的阶梯覆盖率的SiOC薄膜。
6.根据权利要求4所述的方法,还包括蚀刻所沉积的SiOC薄膜,其中蚀刻所沉积的SiOC薄膜使基本上全部所沉积的SiOC薄膜从所述三维特征的垂直表面去除而不使基本上全部所沉积的SiOC薄膜从所述三维特征的水平表面去除。
7.根据权利要求1所述的方法,其中所述硅前体包含至少一个烷氧基。
8.根据权利要求1所述的方法,其中所述硅前体包含双(三乙氧基甲硅烷基)乙烷(BTESE)或3-甲氧基丙基三甲氧基硅烷(MPTMS)。
9.根据权利要求1所述的方法,其中所述第二反应物包含氢原子、氢自由基或氢离子。
10.根据权利要求1所述的方法,其中选择所述等离子体功率以控制在三维特征的垂直表面上形成的所述SiOC薄膜的湿法蚀刻速率(WER)与在所述三维特征的水平表面上形成的所述SiOC薄膜的湿法蚀刻速率(WER)的比率。
11.根据权利要求1所述的方法,还包括沉积后处理过程,所述沉积后处理过程包括将所沉积的SiOC薄膜暴露于通过在包含H2、N2、O2、N2O、NO、NO2、NH3、CO、CO2或H2O的气体中形成的等离子体生成的至少一种反应性物质。
12.根据权利要求11所述的方法,其中所述沉积后处理过程降低三维特征的垂直表面上的所述SiOC薄膜的湿法蚀刻速率(WER)。
13.根据权利要求11所述的方法,其中所述沉积后处理过程降低三维特征的水平表面上的所述SiOC薄膜的厚度,并且增加所述三维特征的垂直表面上的所述SiOC薄膜的厚度。
14.一种用于在衬底上的三维特征的水平表面上相对于所述三维特征的垂直表面选择性地形成SiOC的方法,所述方法包括:
通过等离子体增强原子层沉积(PEALD)过程在所述三维特征的所述水平表面和垂直表面上沉积SiOC,所述PEALD过程包括至少一个沉积循环,所述沉积循环包括:
使所述衬底与包含氧的硅前体接触;和
使所述衬底与第二反应物接触,所述第二反应物包含在不含氧的气体中形成的等离子体,其中沉积在所述水平表面中的至少一个上的所述SiOC具有比沉积在所述垂直表面中的至少一个上的所述SiOC的蚀刻速率低的蚀刻速率;以及
蚀刻所沉积的SiOC,其中蚀刻所沉积的SiOC使所沉积的SiOC从所述垂直表面去除。
15.根据权利要求14所述的方法,其中蚀刻所沉积的SiOC不使基本上全部所沉积的SiOC从所述三维结构的所述水平表面去除。
16.根据权利要求14所述的方法,其中蚀刻所沉积的SiOC进一步使所沉积的SiOC从所述三维特征的一个或多个底部表面去除而不使基本上全部所沉积的SiOC从所述三维特征的一个或多个顶部表面去除。
17.根据权利要求14所述的方法,其中所述硅前体包含至少一个烷氧基。
18.根据权利要求14所述的方法,其中所述等离子体在包含H2和Ar的气体中形成。
19.根据权利要求14所述的方法,其中蚀刻所沉积的SiOC包括将所沉积的SiOC暴露于0.5重量%的稀HF持续期望的时间。
20.根据权利要求14所述的方法,其中蚀刻所沉积的SiOC包括将所沉积的SiOC暴露于自由基、离子、等离子体或其组合。
CN202210497733.5A 2017-05-05 2018-05-03 用于受控形成含氧薄膜的等离子体增强沉积方法 Pending CN114875388A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762502118P 2017-05-05 2017-05-05
US62/502,118 2017-05-05
PCT/US2018/030974 WO2018204709A1 (en) 2017-05-05 2018-05-03 Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN201880026593.5A CN110546302B (zh) 2017-05-05 2018-05-03 用于受控形成含氧薄膜的等离子体增强沉积方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880026593.5A Division CN110546302B (zh) 2017-05-05 2018-05-03 用于受控形成含氧薄膜的等离子体增强沉积方法

Publications (1)

Publication Number Publication Date
CN114875388A true CN114875388A (zh) 2022-08-09

Family

ID=64016760

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880026593.5A Active CN110546302B (zh) 2017-05-05 2018-05-03 用于受控形成含氧薄膜的等离子体增强沉积方法
CN202210497733.5A Pending CN114875388A (zh) 2017-05-05 2018-05-03 用于受控形成含氧薄膜的等离子体增强沉积方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880026593.5A Active CN110546302B (zh) 2017-05-05 2018-05-03 用于受控形成含氧薄膜的等离子体增强沉积方法

Country Status (6)

Country Link
US (2) US11158500B2 (zh)
JP (2) JP7249952B2 (zh)
KR (2) KR20240010760A (zh)
CN (2) CN110546302B (zh)
TW (1) TWI769248B (zh)
WO (1) WO2018204709A1 (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
KR20200007823A (ko) 2017-05-16 2020-01-22 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200130652A (ko) * 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US20220238325A1 (en) * 2019-06-07 2022-07-28 Lam Research Corporation In-situ control of film properties during atomic layer deposition
US11296209B2 (en) 2019-08-27 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. RF switch device with a sidewall spacer having a low dielectric constant
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
WO2021097022A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
KR20210079767A (ko) * 2019-12-20 2021-06-30 삼성전자주식회사 물질막 형성 방법과, 집적회로 소자 및 그 제조 방법
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202208665A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 用於填充半導體基板上之三維結構中的間隙之方法
JP2022065560A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN114622183A (zh) * 2020-12-11 2022-06-14 湖南红太阳光电科技有限公司 一种制备氧化硅薄膜的方法
KR102604059B1 (ko) * 2020-12-18 2023-11-17 삼성전자주식회사 반도체 소자 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070251444A1 (en) * 2006-04-25 2007-11-01 Stmicroelectronics S.A. PEALD Deposition of a Silicon-Based Material
US20130084714A1 (en) * 2011-09-30 2013-04-04 Asm Japan K.K. Method for Forming Single-Phase Multi-Element Film by PEALD
US20140158580A1 (en) * 2012-12-11 2014-06-12 Air Products And Chemicals, Inc. Alkoxysilylamine compounds and applications thereof
US20150014823A1 (en) * 2012-03-09 2015-01-15 Air Products And Chemicals, Inc. Compositions and methods for making silicon containing films
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas

Family Cites Families (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
ATE193335T1 (de) 1994-11-30 2000-06-15 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US6066358A (en) 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5891804A (en) 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6156651A (en) 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
EP1158070B1 (en) 1999-02-11 2008-09-10 Hardide Limited Tungsten carbide coatings and method for producing the same
JP2002536549A (ja) 1999-02-12 2002-10-29 ゲレスト インコーポレイテッド 窒化タングステンの化学蒸着
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
TW465048B (en) 1999-03-26 2001-11-21 Taiwan Semiconductor Mfg Method of forming tungsten plugs in interlayer dielectrics using mixed mode deposition process
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
CN1258617C (zh) 2001-03-20 2006-06-07 马特森技术公司 用于在衬底上沉积具有较高介电常数的涂层的方法
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
JP4108999B2 (ja) * 2002-03-26 2008-06-25 大日本印刷株式会社 積層フィルム
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4170669B2 (ja) 2002-05-24 2008-10-22 大日本印刷株式会社 積層体およびその製造方法
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
KR101210859B1 (ko) 2004-08-18 2012-12-11 다우 코닝 코포레이션 피복 기판 및 이의 제조방법
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP4864402B2 (ja) 2005-09-29 2012-02-01 株式会社東芝 半導体装置の製造方法
MY158548A (en) 2005-09-29 2016-10-14 Praxair Technology Inc Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
WO2007080944A1 (ja) 2006-01-13 2007-07-19 Tokyo Electron Limited 多孔質膜の成膜方法およびコンピュータ可読記録媒体
DE102006004430B4 (de) 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20100178490A1 (en) 2007-03-28 2010-07-15 Glenn Cerny Roll-to-roll plasma enhanced chemical vapor deposition method of barrier layers comprising silicon and carbon
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100148903A1 (en) 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
FR2950633B1 (fr) 2009-09-30 2011-11-25 Alchimer Solution et procede d'activation de la surface oxydee d'un substrat semi-conducteur.
KR101712040B1 (ko) 2009-10-20 2017-03-03 에이에스엠 인터내셔널 엔.브이. 유전체 막들의 부동태화를 위한 공정들
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
US20130112605A1 (en) 2010-07-26 2013-05-09 Waters Technologies Corporation Superficially porous materials comprising a substantially nonporous core having narrow particle size distribution; process for the preparation thereof; and use thereof for chromatographic separations
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TWI504701B (zh) 2011-04-28 2015-10-21 Fujifilm Corp 導電性構件、其製造方法、組成物、觸控面板及太陽電池
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US10119035B2 (en) 2011-07-26 2018-11-06 Virginia Commonwealth University Abhesive coatings
KR20130015145A (ko) 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US20130078454A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
CN107342216B (zh) 2011-09-23 2022-05-31 诺发***公司 等离子体活化保形电介质膜沉积
JPWO2013054655A1 (ja) 2011-10-14 2015-03-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10787591B2 (en) 2012-04-30 2020-09-29 The Boeing Company Composites including silicon-oxy-carbide layers and methods of making the same
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
JP6022274B2 (ja) 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022276B2 (ja) 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102207992B1 (ko) * 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6024484B2 (ja) 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9018054B2 (en) 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5788448B2 (ja) 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6562629B2 (ja) 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
JP6438038B2 (ja) 2014-09-19 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9425097B1 (en) 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
JP6968701B2 (ja) 2015-05-02 2021-11-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
KR102307059B1 (ko) 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
JP5957128B2 (ja) 2015-07-29 2016-07-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9909214B2 (en) * 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
WO2017184701A1 (en) 2016-04-21 2017-10-26 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
KR20180046964A (ko) 2016-10-28 2018-05-10 삼성전자주식회사 반도체 메모리 소자
US10249489B2 (en) 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR20200007823A (ko) 2017-05-16 2020-01-22 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10332747B1 (en) 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070251444A1 (en) * 2006-04-25 2007-11-01 Stmicroelectronics S.A. PEALD Deposition of a Silicon-Based Material
US20130084714A1 (en) * 2011-09-30 2013-04-04 Asm Japan K.K. Method for Forming Single-Phase Multi-Element Film by PEALD
US20150014823A1 (en) * 2012-03-09 2015-01-15 Air Products And Chemicals, Inc. Compositions and methods for making silicon containing films
US20140158580A1 (en) * 2012-12-11 2014-06-12 Air Products And Chemicals, Inc. Alkoxysilylamine compounds and applications thereof
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas

Also Published As

Publication number Publication date
CN110546302B (zh) 2022-05-27
US11776807B2 (en) 2023-10-03
JP2023075174A (ja) 2023-05-30
WO2018204709A1 (en) 2018-11-08
KR20200004317A (ko) 2020-01-13
JP7249952B2 (ja) 2023-03-31
CN110546302A (zh) 2019-12-06
TWI769248B (zh) 2022-07-01
US20200395211A1 (en) 2020-12-17
TW202235660A (zh) 2022-09-16
KR102627238B1 (ko) 2024-01-19
TW201843734A (zh) 2018-12-16
US11158500B2 (en) 2021-10-26
KR20240010760A (ko) 2024-01-24
JP2020519007A (ja) 2020-06-25
US20220044931A1 (en) 2022-02-10

Similar Documents

Publication Publication Date Title
CN110546302B (zh) 用于受控形成含氧薄膜的等离子体增强沉积方法
JP7479334B2 (ja) SiOC薄膜の形成
KR102524573B1 (ko) SiOCN 박막들의 형성
US20220076946A1 (en) FORMATION OF SiOCN THIN FILMS
CN115233183A (zh) 电介质上氧化物的选择性peald
TWI835151B (zh) 在基板上形成碳氧化矽的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination