DE102006004430B4 - Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen - Google Patents

Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen Download PDF

Info

Publication number
DE102006004430B4
DE102006004430B4 DE102006004430A DE102006004430A DE102006004430B4 DE 102006004430 B4 DE102006004430 B4 DE 102006004430B4 DE 102006004430 A DE102006004430 A DE 102006004430A DE 102006004430 A DE102006004430 A DE 102006004430A DE 102006004430 B4 DE102006004430 B4 DE 102006004430B4
Authority
DE
Germany
Prior art keywords
etching
etch
value
environment
substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102006004430A
Other languages
English (en)
Other versions
DE102006004430A1 (de
Inventor
Matthias Schaller
Uwe Schulze
Matthias Baranyai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102006004430A priority Critical patent/DE102006004430B4/de
Priority to US11/538,860 priority patent/US7704889B2/en
Publication of DE102006004430A1 publication Critical patent/DE102006004430A1/de
Priority to US12/718,037 priority patent/US8888947B2/en
Application granted granted Critical
Publication of DE102006004430B4 publication Critical patent/DE102006004430B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1168Gripping and pulling work apart during delaminating

Abstract

Verfahren zur Steuerung von Ätzprozessen mit mehreren Ätzanlagen, mit:
Erhalten eines gemessenen Wertes einer Eigenschaft eines Strukturelements einer Metallisierungsebene eines Halbleiterbauelements für jede von mehreren Ätzanlagen, wobei die gemessene Eigenschaft eine laterale Abmessung oder eine elektrische Eigenschaft des Strukturelements beinhaltet und das Strukturelement über mehreren Substraten mittels eines Ätzprozesses in einer Ätzumgebung gebildet wird, die in den mehreren Ätzanlagen erzeugt wird, wobei die Ätzumgebung durch Zuführen mindestens eines Vorstufenmaterials gemäß einem für jede Ätzanlage spezifizierten Zufuhrparameter eingerichtet wird;
Bestimmen eines aktualisierten Wertes für den spezifizierten Zufuhrparameter jeder Ätzanlage auf der Grundlage des gemessenen Wertes und eines Modells des Ätzprozesses; und
Bearbeiten mehrerer weiterer Substrate in der Ätzumgebung der mehreren Ätzanlagen unter Anwendung des aktualisierten Wertes des spezifizierten Zufuhrparameters für die Ätzumgebung jeder Ätzanlage, um ein entsprechendes Strukturelement über den mehreren weiteren Substraten zu bilden.

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft das Gebiet der Herstellung von Halbleiterbauelementen und betrifft insbesondere Ätzprozesse zum Bilden von Gräben und Kontaktlöchern in einem dielektrischen Material für die Herstellung von Metallisierungsschichten.
  • Beschreibung des Stands der Technik
  • In einer integrierten Schaltung werden eine große Anzahl an Schaltungselementen, etwa Transistoren, Kondensatoren, Widerstände und dergleichen in oder auf einem geeigneten Substrat in einer im Wesentlichen ebenen Konfiguration hergestellt. Auf Grund der großen Anzahl an Schaltungselementen und den erforderlichen komplexen Schaltungsaufbau in modernen integrierten Schaltungen werden die elektrischen Verbindungen der einzelnen Schaltungselemente im Wesentlichen nicht innerhalb der gleichen Ebene hergestellt, auf der die Schaltungselemente ausgebildet sind. Typischerweise werden derartige elektrische Verbindungen in einer oder mehreren zusätzlichen „Verdrahtungs”-Schichten gebildet, die auch als Metallisierungsschichten bezeichnet werden. Diese Metallisierungsschichten beinhalten im Allgemeinen metallenthaltende Leitungen, die die ebeneninterne elektrische Verbindung herstellen, und enthalten ferner mehrere Zwischenebenenverbindungen, die auch als Kontaktdurchführungen bezeichnet werden, die mit einem geeigneten Metall gefüllt sind. Die Kontaktdurchführungen stellen die elektrische Verbindung zwischen zwei benachbarten gestapelten Metallisierungsschichten her, wobei die metallenthaltenden Leitungen und die Kontaktdurchführungen gemeinsam auch als Verbindungsstrukturen bezeichnet werden.
  • Auf Grund der zunehmenden Reduzierung der Strukturgrößen von Schaltungselementen in modernen integrierten Schaltungen steigt auch die Anzahl der Schaltungselemente für eine vorgegebene Chipfläche, d. h. die Packungsdichte, ebenso an, wodurch ein noch größerer Anstieg der Anzahl der elektrischen Verbindungen erforderlich ist, um die gewünschte Schaltungsfunktion bereitzustellen. Daher kann die Anzahl der gestapelten Metallisierungsschichten ansteigen und die Abmessungen der einzelnen Leitungen und Kontakt durchführungen kann sich verringern, wenn die Anzahl der Schaltungselemente pro Chipfläche größer wird. Die Herstellung einer Vielzahl von Metallisierungsschichten zieht äußerst herausfordernde Aufgaben nach sich, die es zu lösen gilt, etwa die mechanische, thermische und elektrische Zuverlässigkeit einer Vielzahl aus aufeinandergestapelten Metallisierungsschichten. Mit zunehmender Komplexität integrierter Schaltungen und auf Grund der Notwendigkeit für Leitungen, die moderat hohen Stromdichten auszuhalten, gehen Halbleiterhersteller zunehmend dazu über, das gut bekannte Metallisierungsmetall Aluminium durch ein Metall zu ersetzen, das höhere Stromdichten ermöglicht und damit eine Verringerung der Abmessungen der Verbindungsstrukturen und damit der Anzahl der gestapelten Metallisierungsschichten ermöglicht. Beispielsweise sind Kupfer und Legierungen davon Materialien, die auf Grund ihrer besseren Eigenschaften im Hinblick auf einen höheren Widerstand gegen Elektromigration und einen deutlich geringeren elektrischen Widerstand im Vergleich zu Aluminium zunehmend als Ersatz für Aluminium verwendet werden. Trotz dieser Vorteile weisen Kupfer und Kupferlegierungen auch eine Reihe von Eigenheiten hinsichtlich der Bearbeitung und Handhabung in einer Halbleiterherstellungsstätte auf. Beispielsweise kann Kupfer nicht in effizienter Weise auf ein Substrat in größeren Mengen durch gut etablierte Abscheideverfahren, etwa die chemische Dampfabscheidung (CVD) aufgebracht werden, und Kupfer kann auch nicht durch die typischerweise eingesetzten anisotropen Ätzverfahren effizient strukturiert werden. Daher werden bei der Herstellung von Metallisierungsschichten mit Kupfer vorzugsweise sogenannte Einlege- oder Damaszener-Verfahren (einzeln oder dual) eingesetzt, in denen eine dielektrische Schicht zunächst aufgebracht und anschließend strukturiert wird, um Gräben und/oder Kontaktlöcher zu erhalten, die nachfolgend mit Kupfer oder Kupferlegierungen gefüllt werden.
  • Es erweist sich, dass der Vorgang des Strukturierens von Kontaktlöchern und Gräben in der dielektrischen Schicht, die häufig in Form eines dielektrischen Materials mit kleinem ε vorgesehen wird, deutlich den gesamten Damaszener-Prozessablauf beeinflussen und auch einen Einfluss auf die schließlich erhaltene kupferbasierte Verbindung ausüben kann, da beispielsweise eine Schwankung der Grabenbreite und Tiefe zu einer unterschiedlichen Leitfähigkeit und damit einem unterschiedlichen elektrischen Verhalten des Bauelements im Hinblick auf die Betriebsgeschwindigkeit und Zuverlässigkeit führen kann. Daher müssen die diversen Fertigungsschritte einschließlich moderner Lithographie- und Ätzverfahren gründlich überwacht werden. Idealerweise würde die Auswirkung jedes einzelnes Prozessschrittes auf jedes Substrat durch Messung erfasst und das betrachtete Substrat würde für die weitere Bearbeitung lediglich dann freigegeben, wenn die erforderlichen Spezifikationen erfüllt sind. Jedoch ist eine derartige Prozesssteuerungsstrategie nicht praktikabel, da das Messen der Auswirkungen gewisser Prozesse relativ lange Messzeiten, häufig außerhalb der Prozesslinie, erfordern kann, oder selbst die Zerstörung der Probe nach sich ziehen kann. Daher wäre ein extrem großer Aufwand im Hinblick auf Arbeitszeit und Anlagen auf der Messseite zu treiben, um die erforderlichen Messergebnisse bereitzustellen. Ferner würde die Auslastung der Prozessanlage minimiert, da die Anlage lediglich nach dem Erhalt des Messergebnisses und seiner Bewertung freigegeben würde.
  • Somit wird der Lithographieprozess, der die erforderliche Ätzmaske zur Strukturierung des dielektrischen Materials liefert, häufig auf der Grundlage einer geeigneten APC-(fortschrittliche Prozesssteuerungs-)Strategie gesteuert. Äußerst größenreduzierte Halbleiterbauelemente mit kritischen Abmessungen von 100 nm und deutlich weniger auf der Bauteilebene erfordern auch äußerst skalierte Metallleitungen und Kontaktdurchführungen in der Verdrahtungsebene des Bauelements. Folglich erfordert die Herstellung von Metallleitungen und Kontaktdurchführungen anspruchsvolle Lithographieprozesse. Jedoch können der Lithographie nachgeschaltete Prozesse und insbesondere der Ätzprozess zum eigentlichen Bilden der Kontaktdurchführungen und Gräben auf der Grundlage der Lackmaske oder Hartmaske, die durch Lithographie hergestellt wird, ebenso den Prozessausgang beeinflussen. Beispielsweise kann ein Ätzprozess, der typischerweise nach einem kritischen Lithographieprozess ausgeführt wird, etwa der Strukturierung des dielektrischen Materials mit kleinem ε in der Metallisierungsschichten, das in modernen Halbleiterbauelementen typischerweise eingesetzt wird, einen deutlichen Einfluss auf die schließlich erreichte Abmessung der Metallleitung oder der betrachteten Kontaktdurchführung ausüben. In modernen Halbleiterfertigungsstätten werden für gewöhnlich mehrere Ätzanlagen oder zumindest mehrere unterschiedliche Prozesskammern zum Ausführen des gleichen Prozessrezepts in Übereinstimmung mit Verfügbarkeit und der Prozessablaufverwaltung in der Fertigungsstätte eingesetzt. Obwohl jede dieser unterschiedlichen Anlagen oder Prozesskammern auf der Grundlage der gleichen Parametereinstellungen im Hinblick auf beispielsweise die Plasmaleistung, den Druck, die Gasdurchflussraten und dergleichen betrieben werden kann, wird eine Schwankung der kritischen Abmessung beobachtet, obwohl fortschrittliche Steuerungsschemata in dem vorhergehenden Lithographieprozess verwendet werden.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf für eine Technik, die eine verbesserte Steuerungsstrategie für Ätzprozesse ermöglicht, wobei eines oder mehrere der zuvor erkannten Probleme vermieden werden oder deren Auswirkungen zumindest deutlich reduziert werden.
  • Überblick über die Erfindung
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die eine verbesserte Steuerung von Ätzprozessen während der Herstellung von beispielsweise Metallleitungen und Kontaktdurchführungen ermöglicht, indem der Einfluss der Zusammensetzung der Ätzumgebung auf die resultierende Konfiguration des Grabens und/oder Kontaktloches berücksichtigt wird. Zum Einrichten eines geeigneten Steuerungsschemas werden in einigen anschaulichen Ausführungsformen Messdaten, die eine signifikante Eigenschaft der geätzten Gräben und Kontaktlöcher angeben, zum Einstellen der Zusammensetzung der entsprechenden Ätzumgebung verwendet. Auf diese Weise können Strukturschwankungen, die durch Prozessschwankungen hervorgerufen werden, deutlich reduziert werden, selbst wenn eine Vielzahl unterschiedlicher Prozessanlagen eingesetzt werden.
  • Diese verbesserte Steuerung von Ätzprozessen wird anhand eines Verfahrens gemäß Anspruch 1 und einer Vorrichtung gemäß Anspruch 8 erzielt.
  • US 2004/0185584 A1 beschreibt ein Verfahren zur Kompensation kritischer Abmessungsschwankungen auf einer Halbleiterscheibenoberfläche während eines Plasmaätzprozesses.
  • DE 102 96 328 T5 beschreibt ein Verfahren und eine Vorrichtung zum Steuern der Äztselektivität. In dem Verfahren wird die Dicke einer zweiten Schicht gemessen, ein Ätzselektivitätsparameter auf Grundlage der gemessenen Dicke bestimmt, und ein Betriebsrezept einer Ätzanlage entsprechend modifiziert.
  • WO 01/82365 A1 beschreibt ein Verfahren und eine Vorrichtung zum Steuern kritischer Abmessungen. Das Verfahren umfasst Herstellen einer Serie von Halbleiterbauteilen, Messen und Analysen einer kritischen Abmessung eines Halbleiterbauteils, und Ausführen eines weiteren Ätzprozesses in Erwiderung auf das Ergebnis der Analyse.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1d schematisch Querschnittsansichten eines Halbleiterbauelements während der Herstellung von Kontaktlöchern und Gräben in einer Metallisierungsschicht zeigen, wobei eine Ätzatmosphäre gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung gesteuert wird;
  • 2a schematisch eine Fertigungsumgebung zur Strukturierung einer dielektrischen Schicht zum Erhalten von Metallleitungen und Kontaktdurchführungen darstellt, die mit einem Steuerungssystem zum Steuern des Ätzprozesses gemäß noch weiterer anschaulicher Ausführungsformen der vorliegenden Erfindung gekoppelt ist;
  • 2b schematisch ein Steuerungssystem, das mit einer Fertigungsumgebung verbunden ist, die mehrere unterschiedliche Ätzanlagen gemäß weiterer anschaulicher Ausführungsformen der vorliegenden Erfindung enthält; und
  • 2c und 2d schematisch Messdaten zum Vergleichen eines konventionellen Ätzsystems mit einem anschaulichen System gemäß der vorliegenden Erfindung zeigen.
  • Detaillierte Beschreibung
  • Es ist zu beachten, dass obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung dargestellt sind, die detaillierte Beschreibung nicht beabsichtigt, die vorliegende Erfindung auf die speziellen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik zum Verbessern des Verhaltens von Metallisierungsschichten äußerst moderner Halbleiterbauelemente. Wie zuvor erläutert ist, werden in der Einlege- oder Damaszener-Technik Gräben und Kontaktlöcher in einem dielektrischen Material gebildet, wobei die entsprechende Konfiguration dieser Gräben und Kontaktlöcher deutlich das schließlich erreichte Leistungsverhalten des fertiggestellten Bauelements beeinflusst, da der Widerstand der Metallleitungen und Kontaktdurchführungen deutlich von der Gesamtquerschnittsfläche, die für den Ladungsträgertransport verfügbar ist, abhängt. Da eine Reihe äußerst komplexer Prozesse bei der Herstellung der Gräben und Kontaktdurchführungen beteiligt sind, etwa moderne Lithographie, Abscheiden mehrerer Materialschichten einschließlich von Dielektrika mit kleinem ε in Verbindung mit Deckschichten, Ätzstoppschichten, ARC-(antireflektierende)Schichten, und dergleichen, die einen Einfluss auf die Lithographie sowie auf die nachfolgenden Ätzprozesse ausüben können, stellt die vorliegende Erfindung eine verbesserte Steuerung für den Gesamtprozessablauf bereit, indem eine zusätzliche Steuerungsstrategie beispielsweise zusätzlich zur APC-Steuerung der Lithographie, im Hinblick auf den Ätzprozess auf der Grundlage von Rückkopplungsdaten bereitgestellt wird, etwa von Messdaten kritischer Abmessungen von Gräben und/oder Kontaktlöchern, um einen Prozessausgang mit geringerer Schwankung zu erzeugen. Erfindungsgemäß wurde erkannt, dass Prozessungleichförmigkeiten, wie sie durch subtile Unterschiede in den Ätzanlagen oder Ätzprozesskammern auftreten können, wenn mehrere unterschiedliche Anlagen oder Kammern verwendet werden, oder der die Ätzung vorgeordnete oder nachgeordnete Behandlungen hervorgerufen werden, in effizienter Weise kompensiert oder zumindest deutlich reduziert werden, indem die Zusammensetzung der Ätzumgebung auf der Grundlage von Messdaten eingestellt wird.
  • Folglich kann erfindungsgemäß eine fortschrittliche Prozesssteuerung (APC) für den Ätzprozess angewendet werden, wodurch ein hohes Maß an Prozesssteuerung beispielsweise auf einer Durchlauf-zu-Durchlauf-Basis ermöglicht wird, während lediglich eine moderate Menge an Messdaten erforderlich ist. In APC-Strategien wird ein Modell eines Prozesses oder einer Gruppe aus in Verbindung stehender Prozesse, etwa eines oder mehrerer Ätzschritte, erstellt und in einer geeignet ausgebildeten Prozesssteuerung eingerichtet. Die Prozesssteuerung kann Informationen einschließlich von Information mindestens hinsichtlich des Prozessausgangs des Ätzprozesses erhalten, während in anderen anschaulichen Ausführungsformen zusätzliche dem Prozess vorgeordnete Messdaten oder andere damit in Bezug stehende Informationen, etwa die Substratgeschichte, die Art des auszuführenden Prozesses, die Produktart, die Kennzeichnung der Prozessanlage, in der das Produkt zu bearbeiten ist oder in vorhergehenden Schritten bearbeitet wurde, das entsprechende Prozessrezept, das anzuwenden ist, und dergleichen, ebenso in Verbindung mit den Rückkopplungsdaten verwendet werden. Aus dieser Information und dem Prozessmodell bestimmt die Prozesssteuerung dann einen Steuerungszustand oder Prozesszustand für den Ätzprozess, der die Auswirkung des Ätzprozesses auf den speziellen Graben oder die Kontaktdurchführung beschreibt, wobei eine geeignete Parametereinstellung für ein oder mehrere variable Parameter des Ätzprozesses in einigen anschaulichen Ausführungsformen in Form einer oder mehrerer Durchflussraten reaktiver oder anderer Gaskomponenten der Ätzumgebung ermittelt und entsprechend eingestellt werden, wobei anlagenspezifische interne oder „untergeordnete” Steuerungseinheiten im Wesentlichen bei den Parameterwerten halten, etwa den Durchflussraten, die den Sollwerten entsprechen, wie sie von der APC-Steuerung vorgegeben werden. Folglich sind die Verfahren und Systeme der vorliegenden Erfindung äußerst vorteilhaft für die Strukturierung dielektrischer Materialien mit kleinem ε zum Bilden von Gräben und Kontaktlöchern für die Herstellung von Metallleitungen in modernen Halbleiterbauelementen mit kritischen Abmessungen in der Bauteilebene von ungefähr 100 nm oder sogar weniger, wobei es dann auch erforderlich ist, dass die Metallgräben und Kontaktdurchführungen mit lateralen Abmessungen von einigen 100 nm und deutlich weniger hergestellt werden, da in diesem Zusammenhang das Gesamtverhalten des Bauelements im Hinblick auf die Arbeitsgeschwindigkeit, die Widerstandsfähigkeit gegen Elektromigration und dergleichen deutlich von den Eigenschaften der Metallleitungen und Kontaktdurchführungen, deren Querschnittsfläche und somit entsprechende Leitfähigkeit deutlich durch den Strukturierungsprozess und damit durch den Ätzprozess bestimmt ist, abhängen kann.
  • Mit Bezug zu den 1a bis 1d und den 2a bis 2d werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, das in Form eines Siliziumvollsubstrats, eines SOI-(Silizium-auf-Isolator)Substrats, oder in Form eines anderen geeigneten Trägermaterials zur Herstellung und Schaltungselementen darauf, und dergleichen vorgesehen sein kann, wobei das Substrat 101 auch eine Bauteilschicht (nicht gezeigt) aufweist, in der einzelne Schaltungselemente, etwa Transistoren, Kondensatoren, Leitungen, Kontaktbereiche, und dergleichen hergestellt sind, wobei in einigen anschaulichen Ausführungsformen entsprechende kritische Abmessungen dieser Schaltungselemente ungefähr 100 nm oder sogar deutlich weniger betragen können. Das Bauelement 100 umfasst ferner eine dielektrische Schicht 102, die über dem Substrat 101 gebildet ist, wobei die Schicht 102 ein dielektrisches Material repräsentieren kann, das die einzelnen Schaltungselemente einschließt, oder die Schicht 102 kann einen Teil einer tieferliegenden Metallisierungsschicht repräsentieren. Abhängig von der speziellen Gestaltung des Bauelements 100 oder der Funktion der Schicht 102 kann diese aus einem konventionellen dielektrischen Material, etwa Siliziumdioxid, Siliziumnitrid hergestellt sein, oder kann ein dielektrisches Material mit kleinem ε aufweisen. In dieser Hinsicht ist ein dielektrisches Material mit kleinem ε als ein Dielektrikum zu verstehen, das eine relative Permittivität von 3,0 oder weniger aufweist. Des weiteren umfasst das Bauelement 100 ein Metallgebiet oder Kontaktgebiet 103, das über dem Substrat 101 und zumindest teilweise in der Schicht 102 gebildet ist. Das Metallgebiet 103 kann, wenn es eine Metallleitung einer tieferliegenden Metallisierungsschicht repräsentiert, ein kupferenthaltendes Metall mit leitenden Barrierenschichten (nicht gezeigt) aufweisen, um damit die Haftung der Metallleitung 103 an dem umgebenden Material zu erhöhen und eine Diffusion von Kupfer in empfindliche Bauteilgebiete zu reduzieren. Eine Ätzstoppschicht 104 kann auf der dielektrischen Schicht 102 und dem Metallgebiet 103 ausgebildet sein, wobei die Ätzstoppschicht 104 aus einem Material aufgebaut ist, das eine hohe Ätzselektivität zu dem Material einer dielektrischen Schicht 105 aufweist, das in einigen anschaulichen Ausführungsformen ein dielektrisches Material mit kleinem ε ist, das auf der Ätzstoppschicht 104 gebildet ist. Des weiteren kann die Ätzstoppschicht 104 typischerweise als eine Diffusionsbarriere zwischen dem Metallgebiet 103 und benachbarten Materialien dienen, um damit die Diffusion von Metall, etwa von Kupfer, in andere Materialien zu reduzieren und um die Diffusion dielektrischen Materials oder anderer reaktiver Komponenten, etwa Sauerstoff, Fluor, und dergleichen, in das Metallgebiet 103 zu verringern. Beispielsweise sind Siliziumnitrid, Siliziumkarbid, stickstoffangereichertes Siliziumkarbid und dergleichen Materialien, die für die Ätzstoppschicht 104 geeignet sind. Auf der dielektrischen Schicht 105 ist eine ARC-Schicht oder Deckschicht 106 ausgebildet, die aus zwei oder mehreren Teilschichten aufgebaut sein kann, um das gewünschte Verhalten im Hinblick auf das optische Verhalten, mechanische Festigkeits- und Maskierungseigenschaften zu erhalten. Beispielsweise kann die Deckschicht 106 eine Siliziumdioxidschicht aufweisen, die so agiert, um der Schicht 105 eine erhöhte mechanische Festigkeit zu verleihen, wenn diese Schicht als ein dielektrisches Material mit kleinem ε aufgebaut ist, woran sich eine Siliziumoxinitridschicht zum Anpassen des optischen Verhaltens der Schicht 106 anschließen kann, wobei eine weitere dünne Siliziumdioxidschicht vorgesehen sein kann, um als eine Stickstoffbarriere für eine Lackmaske 107 zu dienen, die auf der Deckschicht 106 gebildet ist. Es sollte beachtet werden, dass die Konfiguration des Halbleiterbauelements 100, wie sie zuvor beschrieben ist, lediglich anschaulicher Natur ist und eine typische Konfiguration repräsentieren soll, die in modernen Halbleiterbauelementen angetroffen wird, wobei die Schicht 105 so zu strukturieren ist, dass diese Gräben und/oder Kontaktlöcher erhält, die nachfolgend mit einem geeigneten Metall, etwa Kupfer oder Kupferlegierungen zur Herstellung einer Metallisierungsschicht mit Metallleitungen und Kontaktdurchführungen gemäß einer speziellen Gestaltung gefüllt werden. Beispielsweise kann das Metallgebiet 103 eine entsprechende Metallleitung einer tieferliegenden Metallisierungsschicht repräsentieren, für die im Wesentlichen die gleichen Kriterien gelten, wie sie auf die Herstellung entsprechender Gräben in einer dielektrischen Schicht anzuwenden sind, etwa die Schicht 102, wie dies später in Bezug auf die Schicht 105 erläutert ist, die auf der Grundlage der Lackmaske 107 zu strukturieren ist. Folglich sollte die vorliegende Erfindung nicht auf die spezielle Konfiguration des Bauelements 100 oder auf einen speziellen Prozessablauf, der später zur Strukturierung der Schicht 105 beschrieben wird, eingeschränkt erachtet werden, sofern derartige Einschränkungen nicht explizit in der folgenden detaillierten Beschreibung sowie in den angefügten Patentansprüchen dargelegt sind.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 100, wie es in 1a gezeigt ist, kann die folgenden Prozesse umfassen. Nach der Fertigstellung von Schaltungselementen innerhalb des Substrats 101 wird die dielektrische Schicht 102 durch gut etablierte Abscheideverfahren auf der Grundlage plasmaunterstützter CVD (chemische Dampfabscheidung) aufgebracht. Beispielsweise ist die Schicht 102 aus Siliziumdioxid, fluordotiertem Siliziumdioxid, stickstoffangereichertem Siliziumoxikarbid (SiCOH) aufgebaut, und somit können Abscheiderezepte auf der Grundlage geeigneter Vorstufenmaterialien zur Herstellung der Schicht 102 eingesetzt werden. Danach wird das Metallgebiet 103 gemäß gut bekannter Prozesse hergestellt. Nach der Strukturierung der Schicht 102 wird ein geeignetes Metall abgeschieden, um die Metallleitung 103 zu bilden. Das Einfüllen des Metalls kann das Abscheiden von Barrierenschichten und eines kupferbasierten Metalls mit einem nachfolgenden Abtragen von überschüssigen Material durch gut etablierte Prozesse, etwa CMP (chemisch-mechanisches Polieren) und dergleichen beinhalten. Danach kann die Ätzstoppschicht 104 beispielsweise durch gut etablierte plasmaunterstützte CVD-Verfahren mit einer Dicke abgeschieden werden, die ausreichend ist, um einen Kontaktlochätzprozess, der später auszuführen ist, zuverlässig zu stoppen. Als nächstes wird die Schicht 105 beispielsweise in Form einer dielektrischen Schicht mit kleinem ε durch CVD oder Aufschleudern, abhängig von dem angewendeten Material, gebildet. Anschließend wird die Deckschicht 106 durch plasmaunterstützte CVD-Verfahren auf der Grundlage gut etablierter Rezepte gebildet, um damit die gewünschten Eigenschaften für die weitere Strukturierung der Schicht 105 zu erhalten. Schließlich wird die Lackmaske 107 durch moderne Photolithographie gebildet, um eine entsprechende Öffnung 107a herzustellen, die im Wesentlichen den Entwurfsabmessungen eines Kontaktloches oder eines Grabens entspricht, die in der Schicht 105 herzustellen sind, da dies abhängig von der Qualität des Lithographieprozesses ist. Im Folgenden kann angenommen werden, dass ein Kontaktloch in der Schicht 105 herzustellen ist, das sich zu dem Metallgebiet 103 erstreckt und schließlich eine Verbindung damit herstellt, während zusätzlich ein Graben nachfolgend in einen oberen Bereich der Schicht 105 gebildet werden kann, um damit eine Verbindung zu dem entsprechenden Kontaktloch herzustellen.
  • 1b zeigt das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium. Hier unterliegt das Bauelement 100 einem Ätzprozess 108 zur Bildung einer Öffnung 105a in der Schicht 106 und der dielektrischen Schicht 105 und teilweise in der Ätzstoppschicht 104. Der anisotrope Ätzprozess 108 weist eine anfängliche Phase zum Ätzen durch die Schicht 106 auf der Grundlage einer geeigneten Ätzchemie zum Einrichten einer geeigneten Ätzatmosphäre auf der Basis entsprechender Prozessparameter auf, etwa die Plasmaleistung, der Druck, die Zusammensetzung der Gasatmosphäre, und dergleichen. Danach wird eine weitere Phase des Ätzprozesses 108, die auch als Hauptätzschritt be zeichnet werden kann, auf der Grundlage einer Ätzatmosphäre ausgeführt, die eine geeignete Mischung aus Träger- und reaktiven Gasen aufweist, wobei beispielsweise ein auf Kohlenstoff und Fluor basierendes Gas eingeführt wird, um durch die Schicht 105 zu ätzen. Beispielsweise kann C4F8 als ein reaktives Gas verwendet werden, wobei das Vorhandensein entsprechender Gase in der Atmosphäre auf der Grundlage eines Zufuhrparameters gesteuert werden kann, etwa der Gasdurchflussrate einer oder mehrerer der entsprechenden gasförmigen Komponenten. Während des Ätzens durch die Schicht 105 auf der Grundlage eines spezifizierten Prozessrezepts, das die eigentlichen Werte der Zufuhrparameter der diversen Gaskomponenten bestimmt, kann eine gewisse Verschiebung eines oder mehrerer Parameter vor dem Bearbeiten des Bauelements 100 aufgetreten sein, wodurch möglicherweise ein Prozessausgang hervorgerufen wird, der eine deutliche Abweichung von einem spezifizierten Sollwert besitzt. Beispielsweise können während des Ätzprozesses eine Vielzahl von fluorenthaltenden Polymeren auf Grund des Vorhandenseins von Kohlenstoff und Fluor in der Ätzatmosphäre erzeugt werden, wobei die entsprechenden Polymermaterialien an Wänden einer Ätzkammer, auf dem Substrat und dergleichen abgeschieden werden können. Somit kann in Abhängigkeit der Anzahl der in einer speziellen Ätzanlage ausgeführten Ätzprozesse, deren Konfiguration unterschiedlich sein und somit kann der Ätzprozess 108 im zeitlichen Verlauf unterschiedlich laufen und kann sich auch in den unterschiedlichen Ätzkammern unterschiedlich verhalten. Obwohl ferner die Prozessparameter des Prozesses 108 an sich in äußerst zuverlässiger Weise auf der Grundlage der anlageninternen Steuerungsmechanismen, etwa PID-(proportional, integral, differential)Steuerungen eingerichtet werden können, kann eine allgemeine Verschiebung im zeitlichen Verlauf zwischen unterschiedlichen Ätzkammern auf Grund systematischer Abweichungen von Maschinenkonstanten oder Kammerbedingungen, wie sie zuvor beschrieben sind, oder dergleichen auftreten.
  • Des weiteren kann eine Änderung in einer oder mehreren der Gaskomponenten der Ätzumgebung des Prozessors 108 zu einem anderen Ätzverhalten führen und damit zu einer anderen Konfiguration der Öffnung 105a betragen. Beispielsweise kann das Variieren des Zuführens einer oder mehrerer gasförmiger Komponenten, etwa dem oben genannten C4F8, zu einer Änderung der lateralen Abmessung 105c der Schicht 105 führen, wenn diese beispielsweise an der Unterseite der Öffnung 105a gemessen wird. Durch Variieren der Gasdurchflussrate oder eines anderen Parameters, der das Vorhandensein einer gasförmigen Komponente in der Atmosphäre des Prozessors 108 bestimmt, kann eine mehr oder weniger sich verjüngende Konfiguration der Öffnung 105 erreicht werden, wodurch auch das effektive Volumen variiert wird, das für ein nachfolgendes Einfügen von Metall verfügbar ist. Daher kann die Zuführung einer oder mehrerer gasförmiger Komponenten des Prozesses 108 einen geeigneten Parameter repräsentieren, der geändert werden kann, um die laterale Abmessung 105c gemäß den Prozesserfordernissen einzustellen, wodurch eine effiziente Kompensation für ätzspezifische Schwankungen und/oder Prozessschwankungen von dem Ätzen vorgeschalteter und/oder nachgeschalteter Prozesse erreicht wird.
  • Folglich kann gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung der Ätzprozess 108 auf der Grundlage von Messdaten gesteuert werden, die die Öffnung 105a betreffen, beispielsweise die laterale Abmessung 105c, die für ein oder mehrere Bauelemente ermittelt werden kann, etwa das Bauelement 100, die unter spezifischen Bedingungen des Ätzprozesses 108 bearbeitet werden, um die Ätzatmosphäre des Prozesses 108 bei der Bearbeitung eines nachfolgenden Bauelements geeignet einzustellen. In der in 1b gezeigten Ausführungsform kann der zuvor beschriebene Steuerungsmechanismus effizient auf die Herstellung von Kontaktlochöffnungen angewendet werden, d. h. wenn die Öffnung 105a eine Öffnung für eine Kontaktdurchführung repräsentiert, die mit dem Metallgebiet 103 eine Verbindung herstellt. In anderen anschaulichen Ausführungsformen kann zusätzlich oder alternativ ein entsprechender Steuerungsmechanismus auf die Herstellung eines Grabens in der Schicht 105 angewendet werden, wie dies mit Bezug zu den 1c und 1d beschrieben ist. Folglich kann eine effiziente Rückkopplungssteuerung eingerichtet werden, in der die effektive Größe der Öffnung 105a gemäß dem gewünschten Prozessergebnis angepasst wird. Dazu werden in anschaulichen Ausführungsformen modellgestützte Steuerungsstrategien verwendet, wie dies später beschrieben ist.
  • 1c zeigt schematisch das Bauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium, wobei ein Prozessschema angenommen wird, in welchem ein Graben über der Öffnung 105a vor dem Füllen der Öffnung 105a gebildet wird, ohne dass eine zusätzliche Ätzstoppschicht in der Schicht 105 verwendet wird, wie dies auch als Vorgehensweise mit „Kontaktloch zuerst, Graben zuletzt” bezeichnet ist. Es sollte jedoch beachtet werden, dass die vorliegende Erfindung auch auf ein anderes Regime, das als „Graben zuerst, Kontaktloch zuletzt” bekannt ist oder auf eine Einzel-Einlege-Technik anwendbar ist, in der die Kontaktdurchführungen und Gräben strukturiert und mit Metall in separaten Prozessen gefüllt werden. Das Bauelement 100 umfasst eine Lackmaske 109 mit einem darin gebildeten Graben 109a über der Öffnung 105a mit Abmessungen, die im Wesentlichen den Entwurfsabmessungen des um die Kontaktlochöffnung 105a herum zu bildenden Grabens entsprechen. Ferner kann ein Füllmaterial 109b unterhalb der Lackmaske 109 ausgebildet sein, das auch in der Öffnung 105a vorgesehen ist, wobei das Füllmaterial aus Photolack einer unterschiedlichen Art im Vergleich zu der Lackmaske 109 aufgebaut ist, oder dieses kann ein anderes Polymermaterial repräsentieren, das in einem Zustand mit geringer Viskosität zum Füllen der Öffnung 105a und zum Bereitstellen einer im Wesentlichen ebenen Oberfläche aufgebracht werden kann. Das Füllmaterial 109b kann als eine ARC-Schicht während des Strukturierens der Lackmaske 109 dienen. Die Lackmaske 109 kann gebildet werden, indem zunächst das Füllmaterial 109b durch beispielsweise Aufschleudern eines Lackes oder eines Polymermaterials und anschließendes Aufbringen eines Photolacks durch Aufschleudern und Ausführen einer gut etablierten Photolithographie und Strukturieren oder Ätzen des Füllmaterials 109b auf der Grundlage der Lackmaske 109 gebildet werden. Danach wird das Bauelement 109 einem weiteren anisotropen Ätzprozess 110 unterzogen, in welchem in einer anfänglichen Phase durch die Schicht 106 geätzt wird, und anschließend wird eine geeignete Ätzumgebung eingerichtet, beispielsweise auf der Grundlage von Kohlenstoff und Fluor, um eine Teil der Schicht 105 zu entfernen. Ähnlich wie dies mit Bezug zu dem Prozess 108 beschrieben ist, kann auch in dem Prozess 110 die entsprechende Ätzumgebung des Prozesses 110 auf der Grundlage zuvor erzeugter Messdaten gesteuert werden, um in geeigneter Weise die Zufuhr eines oder mehrerer gasförmiger Komponenten, etwa der kohlenstoff- und fluorenthaltenden gasförmigen Komponenten, einzustellen, um damit eine laterale Abmessung des Grabens entsprechend einem spezifizierten Sollwert zu erreichen.
  • 1d zeigt schematisch das Bauelement 100 nach dem Ende des gesteuerten Ätzprozesses 110, wobei ein Graben 111 in der Schicht 106 und der dielektrischen Schicht 105 um die Kontaktlochöffnung 105a herum gebildet ist. Der Graben 111 besitzt eine tatsächliche laterale Abmessung 111c, die durch geeignetes Steuern der Ätzumgebung auf der Grundlage von Messdaten erreicht wird, wie dies zuvor erläutert ist, die sich von einer lateralen Abmessung 111r unterscheidet, die auf der Grundlage der Parameterwerte die in dem entsprechenden Prozessrezept des Prozesses 110 spezifiziert sind, erhalten würde. Somit kann durch geeignetes erneutes Einstellen eines oder mehrerer Zufuhrparameterwerte während des Ätzprozesses 110 die laterale Abmessung 111c näher an dem Sollwert gehalten werden, wodurch Prozessschwankungen zur Strukturierung von Kontaktdurchführungen deutlich reduziert werden. Danach kann die weitere Bearbeitung auf der Grundlage gut etablierter Prozessstrategien fortgesetzt werden, etwa der Herstellung von Barrieren- und Saatschichten und dem Füllen der Kontaktlochöffnung 105a des Grabens 111 mit einem geeigneten Material, etwa Kupfer, Kupferlegierungen, und dergleichen. Auf Grund der verbesserten Steuerungsstrategie während der Ätzprozesse 108 und/oder 110 kann eine geringere Schwankung des elektrischen Verhaltens der resultierenden Leitungen und Kontaktdurchführungen erreicht werden.
  • Mit Bezug zu den 2a bis 2d wird die in den Prozessen 108 und/oder 110 angewandte Steuerungsstrategie detaillierter beschrieben.
  • 2a zeigt schematisch eine Fertigungsumgebung 250 mit einem Ätzsystem 260, das funktionsmäßig mit einem Steuerungssystem 270 zum Steuern eines anisotropen Ätzprozesses, etwa der Prozesse 108, 110, wie sie zuvor beschrieben sind, auf der Grundlage von Messdaten, die von einem Messsystem 280 erhalten werden, verbunden ist. Ferner kann in einigen Ausführungsformen die Umgebung 250 ein Messsystem 290 aufweisen, das ausgebildet ist, elektrische Messdaten bereitzustellen, die eine oder mehrere elektrische Eigenschaften von Metallleitungen und Kontaktdurchführungen kennzeichnen, die auf der Grundlage der entsprechenden durch das Ätzsystem 260 erzeugten Öffnungen gebildet sind. Somit ist in einer anschaulichen Ausführungsform das Steuerungssystem 270 ausgebildet, auch Messdaten von dem System 290 empfangen, die zum Steuern des Ätzsystems 260 zusätzlich auf der Grundlage elektrischer Messdaten verwendet werden. Das Steuerungssystem 270 ist in einer anschaulichen Ausführungsform als eine modellgestützte Steuerung ausgebildet, in der aktualisierte Werte für ein oder mehrere manipulierte Variablen, d. h. die einzustellenden Parameter, um die Umgebung in dem Ätzsystem 270 zum Erzeugen eines gewünschten Ausgangs festzulegen, auf der Grundlage einer gewissen Menge an Rückkopplungsmessdaten bestimmt werden, die von dem Messsystem 280 und auf der Grundlage eines geeignet ausgewählten Modells bereitgestellt werden. Wie zuvor erläutert ist, kann das Vorhandensein einer oder mehrerer gasförmiger Komponenten in der Ätzumgebung deutlich die schließlich erreichte laterale Abmessung der entsprechenden Öffnung, die in einem dielektrischen Material zu bilden ist, beeinflussen. Somit wird in einer anschaulichen Ausführungsform die Gaszufuhr mindestens einer gasförmigen Komponente als ein geeigneter Parameter ausgewählt, der als manipulierte Variable verwendet wird, da die Zufuhr von gasförmigen Komponenten zumindest über einen Prozessdurchlauf hinweg in zuverlässiger und präziser Weise durch interne Steuerungsmechanismen auf der Grundlage geeigneter Steuerungssignale gesteuert werden kann, die von dem Steuerungssystem 270 bereitgestellt werden.
  • Während des Betriebs der Fertigungsumgebung 250 werden strukturierte Substrate 200 dem Ätzsystem 260 von vorhergehenden Prozessen zugeführt, etwa einem Lithographieprozess, in einem Zustand, wie dies beispielsweise in den 1a oder 1c gezeigt ist. Während des Einrichtens einer entsprechenden Ätzumgebung in dem System 260 berechnet das Steuerungssystem 270 einen speziellen Wert für die manipulierte Variable oder die Variablen, etwa entsprechende Werte für die internen Steuerungseinrichtungen, die in anschaulichen Ausführungsformen die Gasdurchflussrate bzw. Raten auf einen Wert halten, wie dies durch das Steuerungssystem 270 vorgegeben ist. In einer anschaulichen Ausführungsform umfasst das Steuerungssystem 270 ein Modell für den Ätzprozess in dem System 260, das die Durchflussrate mindestens einer gasförmigen Komponente mit dem Prozessausgang verknüpft, d. h. eine Messung, die die laterale Abmessung eines geätzten Strukturelements, etwa eines Grabens, einer Kontaktdurchführung, und dergleichen, angibt, wie dies durch ein Substrat 200a gekennzeichnet ist, das in dem System 280 einer Messung unterzogen wird. Folglich kann das entsprechende Modell die Änderung in der entsprechenden lateralen Abmessung vorhersagen, wenn die entsprechende manipulierte Variable erneut eingestellt wird, wodurch das System 270 in die Lage versetzt wird, auf eine Abweichung der entsprechenden Abmessung von einem speziellen Sollwert zu reagieren. Beispielsweise können Messdaten von dem System 280 einen gewissen Betrag an Abweichung von dem Sollwert für zuvor bearbeitete Substrate 200a angeben. Diese Messdaten können dann von der Steuerung 270 verwendet werden, um eine aktualisierten Wert für die Gasdurchflussrate auf der Grundlage des Modells und des Betrags der Abweichung zu berechnen. Abhängig von den Gegebenheiten des eingerichteten Modells kann die Steuerung 270 die aktualisierte manipulierte Variable in einer mehr oder weniger vorhersagenden Weise bereitstellen, indem zusätzliche Prozessinformationen, etwa der Anlagenstatus des Systems 260, eine Verzögerung von dem System 280 erhaltenen Messdaten, und dergleichen mit eingeschlossen werden. Wie beispielsweise zuvor erläutert ist, kann sich der Hardwarezustand der Ätzkammer 260 im Lauf der Zeit in Abhängigkeit der Anzahl der bearbeiteten Substrate auf Grund von beispielsweise einer zunehmenden Polymerabscheidung auf Kammerwänden, und dergleichen ändern. Folglich kann das Steuerungssystem 270 einen geeigneten Wert für die manipulierte Variable, etwa der Gasdurchflussrate, auf der Grundlage der tatsächlich bestimmten Abweichung von dem Sollwert vorhersagen, der durch die Messdaten von dem System 280 angegeben wird, und kann auch die Verzögerung der Messdaten berücksichtigen, indem Information über den aktuellen Anlagenzustand verwendet wird, d. h. die Anzahl der Substrate, die in mehreren speziellen Substraten bearbeitet wurden, von denen die Messdaten erhalten werden.
  • In einer anschaulichen Ausführungsform besitzt das Steuerungssystem 270 darin eingerichtet ein Modell, das eine laterale Abmessung, die auch als CD bezeichnet ist, einer entsprechenden Öffnung, etwa eine Grabenbreite, einen Kontaktlochdurchmesser, und dergleichen, mittels der Gasdurchflussrate und einem Sensitivitätsfaktor vorhersagt, wobei der Sensitivitätsfaktor prozessspezifische, anlagenspezifische und substratspezifische Einflüsse enthalten kann. Somit kann die kritische Abmessung CD proportional zum Sensitivitätsfaktor und der Gasdurchflussrate gesetzt werden, wobei in einigen Ausführungsformen der Sensitivitätsfaktor als konstant angenommen werden kann, zumindest über eine gewisse Zeitdauer hinweg. CD ∝ S*·F ⇒ CD = S·F (1)
  • Wie in Gleichung 1 gezeigt ist, kann die kritische Abmessung CD proportional zum Produkt aus dem Sensitivitätsfaktor und der Durchflussrate sein, und mit einer geeignet gewählten Normierung ist die kritische Abmessung CD gleich dem Sensitivitätsfaktor S mal der Gasdurchflussrate F, wobei beachtet werden sollte, dass eine entsprechende Gleichung für jede Gaskomponente erstellt werden kann, wenn mehrerer Gaskomponenten gleichzeitig in der Ätzumgebung zu steuern sind. Des weiteren sollte beachtet werden, dass der Sensitivitätsfaktor eine Normierungskonstante enthalten kann, wie sie gemäß den anwendungsspezifischen Randbedingungen erforderlich ist. Somit kann der Sensitivitätsfaktor die Änderung der kritischen Abmessung in geeigneten Einheiten, etwa Nanometern, mit einer entsprechenden Änderung der Durchflussraten in entsprechenden Einheiten, etwa sccm (Standardkubikzentimenter pro Minute) angeben. Auf der Grundlage dieses Sensitivitätsfaktors bestimmt das Steuerungssystem 270 eine aktualisierte Durchflussrate F, um eine erfasste Abweichung der tatsächlich gemessenen kritischen Abmessung CD im Hinblick auf einen spezifizierten Sollwert für die kritische Abmessung zu kompensieren. Der Sensitivitätsfaktor S, wie er zuvor erläutert ist, kann von diversen Faktoren abhängen, die als zeitlich konstant betrachtet werden können, zumindest für eine gewisse Zeitdauer, so dass eine effiziente Steuerungsaktivität für eine gewisse Zeitdauer erreicht werden kann, sobald der Sensitivitätsfaktor bestimmt ist. Es sollte beachtet werden, dass die Sensitivität von der speziellen Ätzanlage, die in dem System 260 verwendet ist, dem allgemeinen Prozessrezept, das auszuführen ist, und dergleichen abhängen kann. Somit wird in einigen anschaulichen Ausführungsformen der Sensitivitätsfaktor auf der Grundlage von Messdaten bestimmt, die aus Produkten und/oder Testsubstraten gewonnen werden, in denen kritische Abmessungen für variierende Durchflussraten für ansonsten konstante Prozessbedingungen bestimmt werden.
  • Beispielsweise können in der Fertigungsumgebung 250 mehrere Testsubstrate gemäß einem spezifizierten Prozessablauf bearbeitet werden, wobei eine oder mehrere Gasdurchflussraten in dem System 260 variiert werden, um ein Verhältnis der kritischen Abmessungen und der zugeordneten Durchflussraten gemäß der Gleichung 2 zu bestimmen, S = CD/F (2)wobei abhängig von den Ergebnissen ein im Wesentlichen konstanter Wert für den Sensitivitätsfaktor S abgeleitet werden kann oder in anderen anschaulichen Ausführungsformen eine entsprechend ausgewählte Funktion für den Sensitivitätsfaktor S bestimmt werden kann. Sobald ein geeigneter Sensitivitätsfaktor oder eine Funktion bestimmt ist, kann der Steuerungsvorgang auf der Grundlage eines Modells der Gleichung 1 oder eines anderen geeigneten Modells stattfinden, um einen aktualisierten Wert für die Durchflussrate auf der Grundlage einer Abweichung vorherzusagen, die von den entsprechenden Messdaten angegeben wird. In einer anschaulichen Ausführungsform wird die aktualisierte manipulierte Variable, etwa die Durchflussrate F für ein Substrat oder eine Gruppe aus Substraten, die in dem System 260 zu bearbeiten sind, etwa das Substrat 200 auf der Grundlage der manipulierten Variable bestimmt, die in dem vorhergehenden Prozessablauf, beispielsweise in dem Substrat 200a verwendet wird, plus einem entsprechenden Korrekturfaktor, der durch das Modell gemäß der Gleichung 1 erhalten wird, verwendet. Gleichung 3 beschreibt anschaulich die neue Durchflussrate F (n + 1) in Abhängigkeit der vorhergehenden Durchflussrate F (n) und der entsprechenden Abweichung der Messdaten CD (n) von dem Sollwert CDtarget.
  • Figure 00180001
  • Gemäß Gleichung 3 wird ein geeigneter Betrag an „Durchflussrate” zu der zuvor angewendeten Durchflussrate addiert oder von dieser subtrahiert, um die aktuell verwendete Durchflussrate auf einem Niveau zu halten, das zu einer besseren Übereinstimmung mit dem gewünschten Sollwert für die kritische Abmessung führt. Um die Steuerungsfunktion zu stabilisieren, kann die aktualisierte manipulierte Variable, d. h. F(n + 1), auf der Grundlage eines geeigneten Durchschnitts bestimmt werden, um damit die „historische” Entwicklung der manipulierte Variablen zu berücksichtigen, wobei in einer anschaulichen Ausführungsform ein exponentiell gewichteter gleitender Durchschnitt (EWMA) gemäß Gleichung 4 verwendet wird. F*(n + 1) = λF(n + 1) + (λ – 1)F*(n) (4)
  • Somit ist die manipulierte Variable, die nunmehr als F*(n + 1) bezeichnet ist, die Überlagerung der jüngst verwendeten manipulierten Variablen und des Durchschnitts der vorhergehenden manipulierten Variablen, wobei der Faktor λ aus dem Intervall (0,1) ausgewählt wird. D. h., ein Wert nahe an 1 führt zu einer im Wesentlichen unmittelbaren Reaktion auf eine Abweichung, wie dies für den Fall der Gleichung 3 gültig ist, während ein Wert nahe an 0 zu einer stark gedämpften Steuerungsaktivität in Reaktion auf eine deutliche Abweichung von dem Sollwert führt. Ein geeigneter Wert für λ kann zwischen ungefähr 0,3 und 0,7 gewählt werden.
  • Auf der Grundlage des obigen Modells und der Steuerungsstrategie kann eine deutliche Reduzierung von Prozessschwankungen in der Fertigungsumgebung 250 erreicht werden, selbst wenn eine Vielzahl an Ätzkammern und Ätzanlagen in dem System 260 vorhanden sind.
  • 2b zeigt schematisch die Umgebung 250, wobei das System 260 nunmehr mehrere äquivalente Ätzanlagen oder Prozesskammern 260a, ..., 260n aufweist. In diesem Falle ist das Steuerungssystem 270 mit jeder der einzelnen Anlagen 260a, ..., 260n verbunden, um damit individuell einen oder mehrere Zufuhrparameterwerte einzustellen, um damit eine Prozessausgabe für jede der Einheiten 260a, ..., 260n mit geringerer Abweichung von einem spezifizierten Sollwert zu erhalten. Folglich weist die Steuerung 270 gemäß 2b darin eingerichtet mehrere Prozessmodelle auf, die auf der gleichen Abhängigkeit basieren können, wie dies beispielsweise in Gleichung 1 dargestellt ist, wobei jedoch unterschiedli che Sensitivitätsfaktoren bestimmt sein können, um damit die Unterschiede in der Hardware der diversen Einheiten 260a, ..., 260n zu berücksichtigen. D. h., es können entsprechende Sensitivitätsfaktoren oder Funktionen ermittelt werden, wie dies zuvor erläutert ist, wobei entsprechende Testmessungen für jede der Anlagen 260a, ..., 260n durchgeführt werden. Ferner kann, wie zuvor erläutert ist, die Umgebung 250 zum Bearbeiten mehrerer unterschiedlicher Produktarten verwendet werden, wodurch unterschiedliche Prozessrezepte erforderlich sein können, wobei beispielsweise unterschiedliche Produktarten und Prozessrezepte unterschiedliche Sensitivitäten aufweisen können, d. h., abhängig von der Produktart, dem Prozessrezept, und dergleichen kann der Betrag der Änderung der kritischen Abmessung für eine gegebene Änderung in der Durchflussrate unterschiedlich sein. Somit wird in einigen anschaulichen Ausführungsformen der Sensitivitätsfaktor oder die Funktion auf der Grundlage entsprechender Messdaten bestimmt, die eine spezielle Kategorie repräsentieren. D. h., das Steuerungssystem 270 kann auf der Grundlage kategorisierter Daten arbeiten, wobei eine geeignete Kategorisierung auf der Grundlage von Parametern erstellt werden kann, etwa der Produktart, dem Prozessrezept, der Anlagenkennzeichnung, und dergleichen. Somit können Messdaten, die von dem Bauteil erhalten werden, das zu einer spezifischen Produktart gehört, die beispielsweise die Herstellung von Gräben mit ungefähr 200 nm an kritischer Abmessung erfordern, die in einer speziellen Anlage, etwa der Anlage 260a, bearbeitet wurden, somit ein Element einer spezifischen Datenkategorie repräsentieren. Ein anderes Bauteil, das eine andere Produktart repräsentiert, in der beispielsweise eine andere kritische Abmessung erforderlich ist, da eine unterschiedliche Metallisierungsschicht betrachtet wird, die aber in der gleichen Prozessanlage 260a bearbeitet wird, kann daher zu einer anderen Datenkategorie gehören. Somit wird in einigen anschaulichen Ausführungsformen ein spezieller Sensitivitätsfaktor oder eine Funktion für jede Kategorie bestimmt, wodurch die Steuerungseffizienz des Systems 270 verbessert wird. In anderen anschaulichen Ausführungsformen werden die entsprechenden Messdaten kategorisiert, wobei jedoch zumindest in einigen Kategorien ein gemeinsamer Sensitivitätsfaktor definiert wird, zumindest für ein gewisses Prozessintervall, etwa eine Initialisierungsphase, in der Messdaten für jede Kategorie noch nicht in geeigneter Menge verfügbar sind. Folglich kann die Steuerung des Ätzsystems 260 effizient in Gang gesetzt werden, selbst wenn in einigen Kategorien Messdaten nicht verfügbar oder noch nicht ausreichend sind, indem zumindest vorläufig eine gemeinsam definierte Sensitivität verwendet wird. In noch anderen Ausführungsformen wird die Sensitivität individuell in jeder Kategorie definiert, während die Messdaten für zumindest einige Kategorien gemeinsam für unterschiedliche Steuerungser eignisse unterschiedlicher Kategorien zumindest in einer Anfangsphase verwendet werden, wenn für gewisse Kategorien Messdaten noch nicht verfügbar sind. Auch in diesem Falle wird eine hohe Steuerungseffizienz erreicht, während später, wenn eine ausreichende Anzahl an Messdatensätzen für jede Kategorie verfügbar ist, die Prozesssteuerung auf der Grundlage der kategorisierten Daten stattfinden kann.
  • Es sei wieder auf 2a verwiesen; in einigen anschaulichen Ausführungsformen kann die Steuerungsfunktion des Systems 270 auch auf Vorwärtskopplungsdaten beruhen, die beispielsweise durch Inspizieren des Substrats 200 nach einem Lithographieprozess gewonnen werden. Folglich kann dann auf der Grundlage der entsprechenden Messdaten, die beispielsweise eine Abweichung von einem Sollwert für entsprechend ausgebildete Lackstrukturelemente angeben können, das Steuerungssystem 270 dann auf der Grundlage der Rückkopplungsdaten aus dem Messsystem 280 in Verbindung mit den Vorwärtskopplungsdaten arbeiten, um das Substrat 200a nahe an dem gewünschten Sollwert zu halten. Beispielsweise können in diesem Falle die Vorwärtskopplungsdaten verwendet werden, um den Sollwert CDtarget in Gleichung 3 geeignet neu einzustellen, um Schwankungen, die vor dem Ätzen hervorgerufen werden, zu kompensieren. In anderen anschaulichen Ausführungsformen können elektrische Messdaten, die von dem System 290 erhalten werden, ebenso zum Steuern des Systems 260 verwendet werden, beispielsweise durch Bereitstellen eines Korrekturterms in Gleichung 3, um eine Korrelation zwischen der kritischen Abmessung und deren tatsächlichen Einfluss auf die elektrischen Eigenschaften des Bauelements zu berücksichtigen. Beispielsweise kann der Schichtwiderstand entsprechender Metallleitungen bestimmt angewendet werden, um das Antwortverhalten des Steuerungssystems 270 „bereitzustellen”, d. h. einen Korrekturterm einzustellen, der eine Korrelation zwischen den eigentlich verwendeten Rückkopplungsdaten und den elektrischen Daten wiedergibt, die tatsächlich das Bauteilverhalten repräsentieren.
  • 2c zeigt schematisch einen Graphen, der Messwerte für Substrate repräsentiert, die gemäß einer konventionellen Ätzstrategie gebildet wurden, d. h. ohne das Steuerungssystem 270 im Vergleich mit einer APC-Steuerung, etwa dem System 270, das auf der Grundlage von Messdaten aus dem System 280 zum Steuern der Gasdurchflussrate von C4F8 während eines Ätzprozesses zur Herstellung von Gräben mit einer kritischen Sollabmessung am Grabenboden von 195 nm betrieben wird. Die Kurve A in 2c repräsentiert eine angepasste Kurve, die die Verteilung der entsprechenden konventionell erhaltenen Mess daten darstellt, wobei ein Ort des Maximus der Kurve A, d. h. der Anzahl der Beobachtungen für einen speziellen Messwert bei ungefähr 191 nm liegt. Im Gegensatz dazu repräsentiert die Kurve B die entsprechenden Messergebnisse gemäß der vorliegenden Erfindung. Wie ersichtlich ist, ist das Maximum der Kurve B nahe an dem Sollwert angeordnet und die Verteilung um den Sollwert ist deutlich kleiner im Vergleich zur Breite der Kurve A, wodurch eine deutlich verbesserte Prozessstabilität angedeutet wird.
  • 2d zeigt schematisch einen entsprechenden Vergleich von Messdaten, die mit einem System entsprechend dem System 260 erhalten wurden, wie es in 2b gezeigt ist, wobei mehrere unterschiedliche Ätzanlagen, etwa die Anlagen 260a, ..., 260n für einen Prozessablauf gemäß einer spezifizierten Kategorie verwendet wurden, d. h. zum Bearbeiten von Substraten, die der gleichen Technologie angehören, entsprechend dem gleichen Prozessrezept, wobei die kritische Sollabmessung ebenso 195 nm beträgt. Wie ersichtlich ist, bleiben die Messergebnisse, die von Substraten erhalten wurden, die in der Umgebung 250 gemäß 2b bearbeitet wurden, nahe an dem Sollwert, selbst für Prozessanlagen, wie dies durch den Kreis C angedeutet ist, in denen bei Betrieb in konventioneller Weise eine deutliche Abweichung von dem Sollwert in Verbindung mit einer deutlichen Varianz beobachtet werden kann.
  • Es gilt also: die vorliegende Erfindung stellt eine neue Steuerungsstrategie zum Bilden von Öffnungen in einer dielektrischen Schicht, beispielsweise in einer dielektrischen Schicht mit kleinem ε bereit, die nachfolgend mit einem äußerst leitenden Metall gefüllt wird, indem die Zufuhr einer oder mehrerer gasförmiger Komponenten der Ätzumgebung auf der Grundlage von Rückkopplungsmessdaten gesteuert wird, die die kritische Abmessung der Öffnungen kennzeichnen. Zu diesem Zweck wird eine modellgestützte Steuerung eingerichtet, wobei ein geeigneter Sensitivitätsfaktor definiert werden kann, der die Prozessempfindlichkeit, d. h. das Maß der Änderung der kritischen Abmessung bei einer Änderung der entsprechenden einen oder mehreren Durchflussraten, wiedergibt. Die Steuerungsstrategie gemäß der vorliegenden Erfindung kann erfolgreich auf Systeme angewendet werden, die mehrere unterschiedliche Ätzanlagen oder Prozesskammer enthalten, indem kategorisierte Messdaten verwendet werden, wobei eine deutlich erhöhte Gleichförmigkeit selbst für eine Vielzahl unterschiedlicher Ätzanlagen erreicht werden kann. Folglich können Schwankungen im elektrischen Verhalten von Metallisierungsschichten deutlich reduziert werden, wodurch e benso zu einer verbesserten Zuverlässigkeit im Hinblick auf die Elektromigration und der gleichen beigetragen wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (9)

  1. Verfahren zur Steuerung von Ätzprozessen mit mehreren Ätzanlagen, mit: Erhalten eines gemessenen Wertes einer Eigenschaft eines Strukturelements einer Metallisierungsebene eines Halbleiterbauelements für jede von mehreren Ätzanlagen, wobei die gemessene Eigenschaft eine laterale Abmessung oder eine elektrische Eigenschaft des Strukturelements beinhaltet und das Strukturelement über mehreren Substraten mittels eines Ätzprozesses in einer Ätzumgebung gebildet wird, die in den mehreren Ätzanlagen erzeugt wird, wobei die Ätzumgebung durch Zuführen mindestens eines Vorstufenmaterials gemäß einem für jede Ätzanlage spezifizierten Zufuhrparameter eingerichtet wird; Bestimmen eines aktualisierten Wertes für den spezifizierten Zufuhrparameter jeder Ätzanlage auf der Grundlage des gemessenen Wertes und eines Modells des Ätzprozesses; und Bearbeiten mehrerer weiterer Substrate in der Ätzumgebung der mehreren Ätzanlagen unter Anwendung des aktualisierten Wertes des spezifizierten Zufuhrparameters für die Ätzumgebung jeder Ätzanlage, um ein entsprechendes Strukturelement über den mehreren weiteren Substraten zu bilden.
  2. Verfahren nach Anspruch 1, wobei das Modell eine lineare Abhängigkeit zwischen der gemessenen Eigenschaft und dem Zufuhrparameter angibt.
  3. Verfahren nach Anspruch 1, das ferner umfasst: Zuordnen einer Kategorie zu jedem Substrat und jedem der mehreren weiteren Substrate, wobei sich die Kategorie in der Bauteilgestaltung und/oder der Prozessgeschichte eines Substrats unterscheidet.
  4. Verfahren nach Anspruch 3, wobei der Selektivitätswert individuell für jede Kategorie bestimmt wird.
  5. Verfahren nach Anspruch 1, wobei Bestimmen des aktualisierten Wertes des Zufuhrparameters umfasst: Bestimmen einer Abweichung des gemessenen Wer tes von einem Sollwert für die Eigenschaft und Bestimmen eines Korrekturwertes aus der Abweichung und aus dem Modell.
  6. Verfahren nach Anspruch 5, wobei Bestimmen des aktualisierten Wertes für jede Ätzanlage ferner umfasst: Gewichten mehrerer zuvor verwendeter Werte des Zufuhrparameters für eine entsprechende Ätzanlage, um einen gewichteten Durchschnitt zu bestimmen, und Bestimmen des aktualisierten Wertes auf der Grundlage des Korrekturwertes und des gewichteten Durchschnitts.
  7. Verfahren nach Anspruch 1, wobei der Zufuhrparameter eine Durchflussrate mindestens einer reaktiven Gaskomponente repräsentiert.
  8. Steuerungssystem für Ätzprozesse mit mehreren Ätzanlagen, mit: einem Steuerabschnitt, der ausgebildet ist, mindestens eine aktualisierte manipulierte Variable eines Zufuhrparameters für mehrere Ätzprozessanlagen zur Erzeugung eines Strukturelements in einer Metallisierungsebene eines Halbleiterbauelements auf der Grundlage eines Modells und von Messdaten des Strukturelements zu bestimmen, die eine laterale Abmessung oder elektrische Eigenschaften des Strukturelements für jede der mehreren Ätzprozessanlagen angeben.
  9. Steuerungssystem nach Anspruch 8, wobei die manipulierte Variable eine Durchflussrate mindestens eines Gases repräsentiert, das in einer der oder den mehreren Ätzanlagen verwendet wird.
DE102006004430A 2006-01-31 2006-01-31 Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen Active DE102006004430B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE102006004430A DE102006004430B4 (de) 2006-01-31 2006-01-31 Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US11/538,860 US7704889B2 (en) 2006-01-31 2006-10-05 Method and system for advanced process control in an etch system by gas flow control on the basis of CD measurements
US12/718,037 US8888947B2 (en) 2006-01-31 2010-03-05 Method and system for advanced process control in an etch system by gas flow control on the basis of CD measurements

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102006004430A DE102006004430B4 (de) 2006-01-31 2006-01-31 Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen

Publications (2)

Publication Number Publication Date
DE102006004430A1 DE102006004430A1 (de) 2007-08-02
DE102006004430B4 true DE102006004430B4 (de) 2010-06-10

Family

ID=38268223

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102006004430A Active DE102006004430B4 (de) 2006-01-31 2006-01-31 Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen

Country Status (2)

Country Link
US (2) US7704889B2 (de)
DE (1) DE102006004430B4 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005004409B4 (de) * 2005-01-31 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
US7493186B2 (en) * 2006-12-20 2009-02-17 International Business Machines Corporation Method and algorithm for the control of critical dimensions in a thermal flow process
JP4464979B2 (ja) * 2007-03-05 2010-05-19 東京エレクトロン株式会社 処理システム、処理方法、及び、プログラム
US8105947B2 (en) * 2008-11-07 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Post etch dielectric film re-capping layer
DE102010038740B4 (de) * 2010-07-30 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Steuern kritischer Abmessungen von Kontaktdurchführungen in einem Metallisierungssystem eines Halbleiterbauelements während der Ätzung einer Si-Antireflektierungsschicht
DE102010038736A1 (de) * 2010-07-30 2012-02-02 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US9966315B2 (en) 2016-07-05 2018-05-08 Globalfoundries Inc. Advanced process control methods for process-aware dimension targeting
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10276439B2 (en) * 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001082365A1 (en) * 2000-04-19 2001-11-01 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US20030106642A1 (en) * 2001-07-10 2003-06-12 Applied Materials, Inc. Semiconductor processing module with integrated feedback/feed forward metrology
DE10296328T5 (de) * 2001-02-14 2004-04-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Vorrichtung zum Steuern der Ätzselektivität
US6790376B1 (en) * 2001-07-23 2004-09-14 Advanced Micro Devices, Inc. Process control based upon weight or mass measurements, and systems for accomplishing same
US20040185584A1 (en) * 2003-03-20 2004-09-23 Taiwan Semiconductor Manufacturing Co., Ltd. Iteratively selective gas flow control and dynamic database to achieve CD uniformity
US20040185583A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Method of operating a system for chemical oxide removal

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6069090A (en) * 1994-01-11 2000-05-30 Matsushita Electric Industrial Co., Ltd. Method and apparatus for semiconductor device fabrication
US6500681B1 (en) * 2002-01-11 2002-12-31 Advanced Micro Devices, Inc. Run-to-run etch control by feeding forward measured metal thickness
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
US6686270B1 (en) * 2002-08-05 2004-02-03 Advanced Micro Devices, Inc. Dual damascene trench depth monitoring
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US20040256353A1 (en) * 2003-04-24 2004-12-23 Tokyo Electron Limited Method and system for deep trench silicon etch
US6972258B2 (en) * 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001082365A1 (en) * 2000-04-19 2001-11-01 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
DE10296328T5 (de) * 2001-02-14 2004-04-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Vorrichtung zum Steuern der Ätzselektivität
US20030106642A1 (en) * 2001-07-10 2003-06-12 Applied Materials, Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6790376B1 (en) * 2001-07-23 2004-09-14 Advanced Micro Devices, Inc. Process control based upon weight or mass measurements, and systems for accomplishing same
US20040185583A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Method of operating a system for chemical oxide removal
US20040185584A1 (en) * 2003-03-20 2004-09-23 Taiwan Semiconductor Manufacturing Co., Ltd. Iteratively selective gas flow control and dynamic database to achieve CD uniformity

Also Published As

Publication number Publication date
US20100161103A1 (en) 2010-06-24
DE102006004430A1 (de) 2007-08-02
US20070178699A1 (en) 2007-08-02
US7704889B2 (en) 2010-04-27
US8888947B2 (en) 2014-11-18

Similar Documents

Publication Publication Date Title
DE102006004430B4 (de) Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
DE102005041283B4 (de) Verfahren und Halbleiterstruktur zur Überwachung der Herstellung von Verbindungsstrukturen und Kontakten in einem Halbleiterbauelement
DE102005030588B4 (de) Technik zum Reduzieren des Ätzschadens während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika
DE10218155B4 (de) Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102005020132B4 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102007015506B4 (de) Verfahren und Halbleiterstruktur zur Überwachung von Ätzeigenschaften während der Herstellung von Kontaktdurchführungen von Verbindungsstrukturen
DE102008026134A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102006056625B4 (de) Verfahren und Teststruktur zum Bestimmen von Fokuseinstellungen in einem Lithographieprozess auf der Grundlage von CD-Messungen
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102006030266A1 (de) Verringern der Kontamination von Halbleitersubstraten während der Metallisierungsbearbeitung durch Bereitstellen einer Schutzschicht am Substratrand
DE102008049727A1 (de) Kontaktelemente und Kontaktdurchführungen eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung hergestellt sind
DE102008054069B4 (de) Reduzierte Scheibendurchbiegung in Halbleitern durch Verspannungstechniken im Metallisierungssystem
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102006035668A1 (de) Verfahren zum Herstellen einer Ätzindikatorschicht zur Reduzierung von Ätzungleichförmigkeiten
DE102005057061B3 (de) Verfahren zum Entfernen einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfer-metallisierungsschicht
DE102007009912A1 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102010038736A1 (de) Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
DE102007025341B4 (de) Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika
DE102005004409B4 (de) Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
DE102008049720B4 (de) Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements
DE102011090167A1 (de) Integriertes Schaltungssystem mit Dielektrikum mit sehr geringem ε und Herstellungsverfahren davon

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition