TW202140833A - 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 - Google Patents

相對於金屬表面在介電表面上之氧化矽的選擇性沉積 Download PDF

Info

Publication number
TW202140833A
TW202140833A TW110109306A TW110109306A TW202140833A TW 202140833 A TW202140833 A TW 202140833A TW 110109306 A TW110109306 A TW 110109306A TW 110109306 A TW110109306 A TW 110109306A TW 202140833 A TW202140833 A TW 202140833A
Authority
TW
Taiwan
Prior art keywords
metal
catalyst
substrate
aluminum
silicon oxide
Prior art date
Application number
TW110109306A
Other languages
English (en)
Inventor
安德里亞 伊利貝里
吉賽佩 亞雷西歐 維爾尼
鄧少任
丹尼爾 基佩
伊娃 托伊斯
馬可 托米寧
麥可 尤金 吉芬斯
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202140833A publication Critical patent/TW202140833A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J21/00Catalysts comprising the elements, oxides, or hydroxides of magnesium, boron, aluminium, carbon, silicon, titanium, zirconium, or hafnium
    • B01J21/02Boron or aluminium; Oxides or hydroxides thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • B01J37/02Impregnation, coating or precipitation
    • B01J37/0215Coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1212Zeolites, glasses
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1291Process of deposition of the inorganic material by heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/73Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals characterised by the process
    • C23C22/77Controlling or regulating of the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/82After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase

Abstract

提供用於相對於金屬表面在介電表面上選擇性沉積氧化矽膜之方法。基板之金屬表面可相對於介電表面,比如,使用聚醯亞胺層或硫醇SAM選擇性鈍化。藉由使介電表面與金屬催化劑及包括矽醇之矽前驅物接觸而相對於經鈍化金屬表面在介電表面上選擇性地沉積氧化矽。

Description

相對於金屬表面在介電表面上之氧化矽的選擇性沉積
本揭露大致上係關於相對於基板之第二金屬或含金屬表面之在第一介電表面上之選擇性沉積氧化矽。
半導體製造中逐漸減小之裝置尺寸需要新的創新處理方法。習知地,半導體處理中之圖案化涉及減去性製程,其中毯覆層經沉積、藉由光微影技術遮罩、且透過遮罩中之開口進行蝕刻。亦已知添加性圖案化,其中在沉積所要材料之前進行遮罩步驟,例如使用剝離技術或鑲嵌處理之圖案化。在大多數情況下,針對圖案化應用昂貴的多步驟微影技術。
圖案化可藉由選擇性沉積來簡化,其已於半導體製造商中受到逐漸增加的關注。選擇性沉積將高度有利於各種方面。值得注意地,其可允許減少微影步驟,降低處理成本。選擇性沉積亦可實現狹窄結構中的增強縮放。
包括二氧化矽的薄膜係例如作為介電材料用在微電子裝置的許多不同應用中。二氧化矽係矽微電子裝置中最常使用的介電材料之一。
在一些態樣中,提供將氧化矽相對於基板的金屬表面選擇性地沉積於基板之介電表面上之方法。在一些實施例中,將氧化矽相對於基板的金屬表面選擇性地沉積於基板之介電表面上之方法依序包括:相對於金屬表面選擇性鈍化介電表面:在金屬表面上形成聚合物鈍化層;使介電表面與金屬催化劑接觸;及使介電表面與包括矽醇之矽反應物接觸。
在一些實施例中,金屬表面包括Al、Cu、Co、Ni、W、Nb、Fe及Mo中之一或多者。在一些實施例中,介電表面包括氧化矽。在一些實施例中,選擇性地鈍化介電表面包括使介電表面與矽烷化劑接觸。在一些實施例中,矽烷化劑包括烷基胺基矽烷。在一些實施例中,烷基胺基矽烷具有式(RI )3 Si(NRII RIII ),其中RI 係直鏈或支鏈C1 至C5 烷基基團或直鏈或支鏈C1 至C4 烷基基團,RII 係直鏈或支鏈C1 至C5 烷基基團、直鏈或支鏈C1 至C4 烷基基團或氫,且RIII 係直鏈或支鏈C1 至C5 烷基基團或直鏈或支鏈C1 至C4 烷基基團。在一些實施例中,其中矽烷化劑包括烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。
在一些實施例中,將氧化矽相對於基板的金屬表面選擇性地沉積於基板之介電表面上之方法進一步包括在將聚合物鈍化層選擇性地形成於金屬表面之後且在使介電表面與金屬催化劑接觸之前,以電漿處理介電表面。在一些實施例中,電漿包括H2 電漿。
在一些實施例中,其中金屬催化劑包括三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三(異丙氧基)鋁(TIPA)或三乙基鋁(TEA)。在一些實施例中,金屬催化劑包括金屬化合物,其包括Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga。在一些實施例中,金屬催化劑係金屬鹵化物、有機金屬化合物或金屬有機化合物。在一些實施例中,矽反應物包括三(三級丁氧基)矽醇(TBS)、三(異丙氧基)矽醇(TIS)或三(三級戊氧基)矽醇(TPS)。在一些實施例中,聚合物鈍化層包括自組裝單層(SAM)。在一些實施例中,SAM係藉由使基板暴露於硫醇聚合物來形成。在一些實施例中,聚合物鈍化層係聚醯亞胺層。
在一些實施例中,相對於經鈍化金屬表面,氧化矽在經催化介電表面上的沉積選擇性係大於約50%。
在一些實施例中,提供相對於基板之金屬表面在基板之介電表面上選擇性沉積氧化矽之方法,其包括:在金屬表面上選擇性地形成聚合物鈍化層,及進行一或多個氧化矽沉積循環,其包括使基板交替及依序地與金屬催化劑及矽醇接觸。在一些實施例中,將氧化矽相對於基板的金屬表面選擇性地沉積於基板之介電表面上之方法進一步包括在將聚合物鈍化層選擇性地形成於金屬表面之前,使介電表面接觸矽烷化劑。
在一些實施例中,氧化矽沉積循環可重複連續兩次或更多次。在一些實施例中,在至少一個氧化矽沉積循環中使基材與矽醇接觸兩次或更多次。
在一些實施例中,矽烷化劑包括烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。在一些實施例中,金屬催化劑包括三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三(異丙氧基)鋁(TIPA)或三乙基鋁(TEA)。在一些實施例中,金屬催化劑係金屬化合物,其包括Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga。在一些實施例中,金屬催化劑係金屬鹵化物、有機金屬化合物或金屬有機化合物。在一些實施例中,矽烷係三(三級戊氧基)矽醇(TPS)。在一些實施例中,聚合物鈍化層係聚醯亞胺層。在一些實施例中,聚合物鈍化層係硫醇SAM。
藉由文中描述之方法所形成的氧化矽膜(比如二氧化矽膜,例如SiO2 膜)可用於各種情境中。氧化矽膜(比如二氧化矽膜,例如SiO2 膜)用於例如各種半導體裝置,包括CMOS、DRAM、快閃記憶體及磁頭應用。氧化矽(比如二氧化矽,例如SiO2 )亦常用作CMOS的閘極介電質、電隔離層及間隙填充層。可藉由文中所述方法,將氧化矽膜(比如二氧化矽膜,例如SiO2 膜)相對於基板上之金屬表面選擇性地沉積於介電表面上。在一些實施例中,氧化矽係經由鈍化劑與催化劑組合之使用而相對於第二金屬(或含金屬)表面選擇性地沉積於第一介電表面(比如氧化物表面)上方。在一些實施例中,介電表面亦在選擇性沉積氧化矽之前經官能化。
在實施例中,可例如藉由選擇性處理表面,比如藉由矽烷化,相對於金屬表面選擇性鈍化或官能化介電表面。在一些實施例中,藉由將介電表面暴露於矽烷化劑而使其官能化,矽烷化劑包括烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。在一些實施例中,可省略官能化步驟。在一些實施例中,鈍化可有助於隨後之金屬表面選擇性鈍化,如下文所描述。在一些實施例中,經鈍化之介電表面可經處理(比如用電漿)以提供期望的表面終端,以促進催化劑化學吸附,如下文更詳細描述。
使金屬表面鈍化,比如,藉由在金屬表面上選擇性地形成聚合物層。在一些實施例中,介電表面之矽烷化有助於聚合物鈍化層在金屬表面上之形成的選擇性。
隨後,相對於金屬表面在介電表面上選擇性沉積催化劑。在一些實施例中,催化劑係選擇性地化學吸附於介電表面上。催化劑可為,例如,如下文更詳細描述之金屬催化劑。
隨後藉由使基板與矽反應物(比如矽醇(silanol))接觸,將氧化矽層相對於經鈍化金屬表面選擇性地沉積於介電表面上。催化劑製備表面以與矽醇反應,其導致催化氧化矽相對於經鈍化之金屬表面在基板之介電表面上選擇性地生長。氧化矽層可藉由循環氣相沉積製程來沉積,其中使基板交替地與催化劑及矽醇接觸,直至已選擇性地沉積所欲厚度之氧化矽膜為止。在氧化矽沉積之後,可移除金屬表面上的聚合物鈍化層,比如藉由蝕刻。
在一些實施例中,在基板上的介電表面,比如氧化物表面,用矽烷化劑矽烷化,矽烷化劑係比如烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA),聚合物係選擇性地沉積於相同基板之金屬表面上,比如鋁催化劑之金屬催化劑係選擇性地沉積於相同基板之介電表面上,及氧化矽隨後相對於經鈍化之金屬表面選擇性地沉積於基板之介電表面上。例如,可藉由例如使用矽烷化劑使介電表面矽烷化,使用硫醇SAM或聚醯亞胺層使金屬表面鈍化,使用三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三(異丙氧基)鋁(TIPA)或三乙基鋁(TEA)作為催化劑,以及使用矽醇(比如,三(三級戊氧基)矽醇)作為矽反應物,將氧化矽層相對於相鄰金屬表面選擇性地沉積於介電表面(比如,金屬氧化物表面、氧化矽表面或低k表面)上。在一些實施例中,在使表面與催化劑接觸之前經電漿處理矽烷化介電表面。
在一些實施例中,基板之金屬或含金屬表面包括元素金屬或金屬合金,而基板之第二不同表面包括介電質材料(比如氧化物)。在一些實施例中,介電表面及金屬表面彼此相鄰或至少部分彼此相鄰。可能的介電材料範例包括基於氧化矽之材料,包括生長或沉積之二氧化矽、經摻雜及/或多孔之氧化物、矽上自然氧化物等。在一些實施例中,介電材料包括金屬氧化物。在一些實施例中,介電材料包括低k材料。
介電材料的表面係比如藉由選擇性矽烷化而相對於金屬或含金屬表面選擇性鈍化。在一些實施例中,使介電表面接觸氣相鈍化劑,比如氣相烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。基板可以足量之鈍化劑接觸且持續足夠的時間段而以矽物種選擇性鈍化介電表面。在一些實施例中,介電表面不以自組裝單層(SAM)鈍化。
聚合物鈍化層可相對於介電表面選擇性地沉積於金屬表面上。在一些實施例中,介電表面上之鈍化劑抑制或防止聚合物鈍化層形成在介電表面上。
可使用電漿處理來活化介電表面。舉例而言,經矽烷化之介電表面可暴露於H2 電漿中。
將催化劑相對於經鈍化之金屬表面選擇性地形成於介電表面上,比如藉由使基板與催化劑化合物接觸。此類表面在本文中可稱為「催化介電表面」。於一些實施例中,催化劑為金屬催化劑。在一些實施例中,如下所述般使基板與之金屬催化劑接觸。催化劑可為,例如,包括Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga之金屬化合物。在一些實施例中,催化劑係金屬鹵化物、有機金屬化合物或金屬有機化合物。在一些實施例中,催化劑可為金屬氧化物。在一些實施例中,金屬催化劑包括三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三異丙氧基鋁(TIPA)或三乙基鋁(TEA)。在一些實施例中,催化劑為鋯化合物,比如Zr-DO4 。在一些實施例中,催化劑為四(乙基甲基胺基)鋯(TEMAZ)。在一些實施例中,催化劑為ZrCl4 。在一些實施例中,催化劑為鑭化合物,比如三(異丙基-環戊二烯基)鑭(LA(iPrCp)3 )。在一些實施例中,催化劑為鈦化合物,比如,異丙醇鈦(TTIP)或TiCl4 。在一些實施例中,催化劑為鎵化合物,如三甲基鎵(TMG)。於一些實施例中,催化劑為鉿化合物,比如HfCl4 或Hf(NO34 。於一些實施例中,催化劑可為包括硼之化合物。
在一些實施例中,催化劑可相對於經鈍化金屬表面優先沉積於介電表面上,例如,官能化介電表面。在一些實施例中,催化劑相對於經鈍化金屬表面優先沉積於介電表面上。在一些實施例中,在金屬表面上之鈍化劑抑制或防止催化劑沉積於金屬表面上。在一些實施例中,單次暴露於鈍化劑可防止催化劑於1、2、5、10、20、30、40或50或更多個循環(其中基板與催化劑接觸)上沉積於金屬表面上。在一些實施例中,金屬表面未經鈍化且在金屬表面上無鈍化材料下選擇性地沉積催化劑於介電表面上。例如,催化劑可相對於金屬表面選擇性地沉積於官能化介電表面上。在一些實施例中,不使用催化劑。
在將催化劑(若使用的話)沉積於介電表面上之後,相對於經鈍化之金屬表面將氧化矽層選擇性地沉積於催化介電表面上。例如,可將基板暴露至矽前驅物,比如矽醇。在一些實施例中,將基板單獨暴露至矽前驅物,而在一些實施例中,將基板暴露至矽前驅物及氧前驅物,比如H2 O。矽前驅物可與包括催化劑之表面反應以形成氧化矽。例如,可將基板與包括矽醇之矽反應物接觸,使得矽醇在介電表面上之催化劑原子分解,導致相對於金屬表面氧化矽在介電表面上之選擇性生長。
在一些實施例中,在一或多個沉積循環中,使基板交替且依序地與介電鈍化劑、金屬鈍化劑、催化劑及矽醇反應物接觸。此沉積循環可重複多次以將期望厚度之氧化矽膜相對於金屬表面選擇性地沉積於介電表面上。
參照圖1,在一些實施例中,在一完整的沉積循環100中,包括介電表面及金屬表面之基板首先與第一鈍化劑接觸110。第一鈍化劑可為,例如,矽烷化劑,比如烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。第一鈍化劑可鈍化或官能化介電表面。可從基板表面移除過量的第一鈍化劑。在一些實施例中,在沉積製程中僅提供第一鈍化劑110一次,例如僅在第一沉積循環100中。在一些實施例中,省略步驟110且不使用第一鈍化劑。
接下來,藉由暴露至一或多種第二鈍化劑,使金屬表面鈍化120,導致聚合物層在金屬表面上選擇性形成。在一些實施例中,自組裝單層(SAM)係選擇性地形成於金屬層上,例如自氣相十二烷硫醇前驅物形成。在一些實施例中,聚醯亞胺層係經選擇性地沉積在金屬表面上。在一些實施例中,僅在一個沉積循環100中鈍化金屬表面120,例如僅在第一沉積循環100中。
實行氧化矽沉積子循環130,其中使基板與催化劑140及矽前驅物140(比如矽醇)接觸。如上文所提及,在一些實施例中,除矽反應物之外,將基板與比如H2 O之氧反應物接觸。在每次接觸步驟140及150之後,可從基板表面移除過量的催化劑及矽醇。子循環可在單一沉積循環100中重複多次160。在一些實施例中,在各沉積循環100中,實行一、二、三或更多個使基板交替且依序地與催化劑140及矽醇反應物150接觸的氧化矽沉積子循環。亦即,每次基板以第一鈍化劑110及/或金屬表面120鈍化時,可實行多個氧化矽沉積子循環130。在一些實施例中,在開始藉由使基板與第一鈍化劑接觸的另一沉積循環100之前,重複氧化矽沉積子循環130高達五十次。
在一些實施例中,在開始新沉積循環100之前,移除在金屬表面上之聚合物鈍化層170。聚合物鈍化層可例如藉由蝕刻(比如藉由電漿蝕刻)來移除。在一些實施例中,聚合物鈍化層不在每一個沉積循環100中移除,而僅在一或多個沉積循環(比如在最後一個沉積循環中)中移除。
可重複沉積循環100,直至已將期望厚度之氧化矽膜選擇性地形成於金屬表面上為止。
在一些實施例中,在其上選擇性沉積氧化物之介電表面係至少部分地相鄰於經選擇性鈍化之金屬或含金屬表面。例如,介電表面之至少一部分可相鄰於金屬或含金屬表面。
在一些實施例中,如上文所討論,在金屬表面(比如銅表面)上形成聚合物鈍化層之前,介電表面可具備鈍化層,比如藉由暴露於矽烷化劑。介電表面上之鈍化層可促進後續聚合物對金屬表面之鈍化選擇性。此外,介電層上的鈍化層可用於使表面官能化,使得催化劑能在介電表面上化學吸附。在一些實施例中,介電表面上之鈍化層可經活化,比如藉由暴露於電漿反應物,例如H2 電漿。在一些實施例中,可在金屬層之選擇性鈍化之後進行活化步驟。
可用於本文所述之選擇性沉積製程之合適反應器的實例包括可商購的原子層沉積(ALD)設備。除ALD反應器之外,可使用許多其他類型之能夠生長聚合物鈍化層的反應器,包括化學氣相沉積(CVD)反應器、氣相沉積聚合(VDP)反應器及分子層沉積(MLD)反應器。基板表面
根據本揭露之一些態樣,選擇性沉積可用以相對於金屬或含金屬表面優先地在介電表面上沉積所要的膜(比如氧化矽膜)。此類基板示意性地繪示於圖2A中。在一些實施例中,兩表面在基板上係至少部分地彼此相鄰,例如,如示意性所示。
在一些實施例中,相對於金屬或含金屬表面介電表面之選擇性鈍化(比如氧化物表面之選擇性矽烷化),隨後金屬或含金屬表面之選擇性鈍化(比如藉由聚合物層之形成),可促進後續金屬催化劑在介電表面上之選擇性沉積,隨後氧化矽層相對於經鈍化之金屬表面在介電表面上之選擇性沉積。聚合物鈍化層隨後可自金屬層移除。
在一些實施例中,表面中之一者可係基板之導電金屬或含金屬表面,而另一表面可係基板之不導電氧化物表面。在一些實施例中,不導電介電表面包括-OH基團,比如以氧化矽為基之表面(例如,低k材料,包括生長及沉積之氧化矽材料及矽上自然氧化物)。介電表面可比如藉由暴露至矽烷化劑而相對於金屬或含金屬表面選擇性鈍化。如有必要,可隨後活化該表面,以促進金屬催化劑在介電層上選擇性化學吸附,且隨後可將氧化矽相對於金屬表面選擇性地沉積於介電表面上。
兩基板表面之間的材料差係使得氣相沉積方法可相對於金屬或含金屬表面選擇性地鈍化氧化物表面,使其活化以用於催化之氧化矽沉積,且亦使金屬或金屬表面鈍化以阻止或防止氧化矽形成於其上。
在一些實施例中,使用循環氣相沉積,例如,循環CVD或原子層沉積(ALD)製程。
在一些實施例中,可在金屬或含金屬表面上無鈍化劑及/或在介電層之表面上無催化劑以接收更多的鈍化層的情況下達成介電表面上鈍化層之選擇性(比如藉由矽烷化)。例如,在第一表面係含氧化物且第二表面係金屬之實施例中,可在未預處理氧化物表面或者預處理金屬或含金屬表面的情況下相對於金屬或含金屬表面選擇性矽烷化氧化物層。
在一些實施例中,金屬或含金屬表面經選擇性鈍化以抑制在該表面上之氧化矽沉積。舉例而言,聚合物層可相對於介電表面形成於金屬或含金屬表面上方,從而促進後續氧化矽相對於聚合物覆蓋之含金屬表面在介電表面上之選擇性沉積。在一些實施例中,聚合物層可包括自組裝單層(SAM)。在一些實施例中,聚合物層可係聚醯亞胺層。在聚合物鈍化層之選擇性沉積完成之後,可相對於經鈍化金屬表面在介電表面上實施所要材料(比如催化劑及/或氧化矽)之選擇性沉積。可在將氧化矽選擇性沉積於介電表面上之後移除鈍化層。
如本文中所使用,除非另有具體指明,若在本文中將表面稱為金屬表面,則其可係金屬表面或含金屬表面。在一些實施例中,金屬或含金屬表面可包括表面氧化。在一些實施例中,金屬表面之材料在有或無表面氧化的情況下係導電的。在一些實施例中,金屬表面包括一或多個過渡金屬。在一些實施例中,金屬表面包括Al、Cu、Co、Ni、W、Nb、Fe或Mo中之一或多者。在一些實施例中,金屬表面包括Cu。在一些實施例中,金屬表面係銅表面。在一些實施例中,含金屬表面包括氮化鈦。在一些實施例中,金屬表面包括一或多個貴金屬(比如Ru)。在一些實施例中,金屬表面包括金屬氧化物(比如導電金屬氧化物、金屬氮化物、金屬碳化物、金屬硼化物或其組合)。例如,金屬或含金屬表面可包括RuOx、NbCx、NbBx、NiOx、CoOx、NbOx、MoOx、WOx、WNCx、TaN或TiN中之一或多者。 相對於金屬表面在介電表面上選擇性沉積鈍化層
在一些實施例中,可比如藉由矽烷化來鈍化氧化物(或其他介電質)表面。在一些實施例中,鈍化係相對於另一表面,比如,相同基板上之金屬或含金屬表面對氧化物表面具選擇性(參見如圖2B)。在一些實施例中,在將聚合物鈍化層,比如,聚醯亞胺鈍化層形成於金屬表面上之前,藉由矽烷化來鈍化介電表面。在一些實施例中,在將聚合物鈍化層,比如,聚醯亞胺鈍化層形成於金屬表面上之前,不鈍化介電表面。
在一些實施例中,介電層之處理為介電質恢復步驟。可在選擇性沉積聚合物鈍化層於金屬表面上之前且在已清潔表面(若實行的話)之後執行不同種類之含矽材料恢復步驟。
在一些實施例中,氧化物表面係藉由暴露至氣相矽烷化劑一或多次而矽烷化。例如,在鈍化步驟中,矽烷化劑可導入至反應空間中並與基板表面接觸。矽烷化劑可係例如氯矽烷、烷氧基矽烷、矽烷基鹵化物、矽烷基氰酸鹽、矽烷基疊氮化物、矽烷基異氰酸鹽、矽烷基異硫氰酸鹽、矽烷基磺酸鹽、矽烷基乙醯胺、矽烷基碳二亞胺、烯丙基矽烷或承氮矽烷(比如,矽氮烷、咪唑或胺)。在一些實施例中,矽烷化劑係烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA),且矽烷化包括使基板暴露至矽烷化劑之一或多個脈衝。在一些實施例中,金屬或含金屬表面及氧化物表面兩者均與矽烷化劑接觸,矽烷化劑比如為烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。在一些實施例中,基板之氧化物表面係相對於基板之金屬或含金屬表面選擇性矽烷化。
在一些實施例中,矽烷化劑係烷基胺基矽烷。例如,基板之氧化物表面可與具有式(RI )3 Si(NRII RIII )之烷基胺基矽烷接觸,其中RI 係直鏈或支鏈C1 至C5 烷基基團或直鏈或支鏈C1 至C4 烷基基團,RII 係直鏈或支鏈C1 至C5 烷基基團、直鏈或支鏈C1 至C4 烷基基團或氫,且RIII 係直鏈或支鏈C1 至C5 烷基基團或直鏈或支鏈C1 至C4 烷基基團。在一些實施例中,矽烷化劑為三甲基(二甲胺基)矽烷、三甲基(二乙胺基)矽烷或三甲基(乙胺基矽烷)。
在一些實施例中,矽烷化劑係矽烷。例如,介電表面可與具有通式(RI )3 SiA之矽烷接觸,其中RI 係直鏈或支鏈C1 至C5 烷基基團或直鏈或支鏈C1 至C4 烷基基團,且A係與含矽表面具反應性之任何配位子。在一些實施例中,矽烷經由配位子A與表面鍵結,或配位子A與表面形成鍵結,但隨後配位子A可遷移遠離表面及/或矽烷。
矽烷化劑可以單一脈衝或在多個脈衝序列提供至固持基板之反應室。在一些實施例中,矽烷化劑係以單一長脈衝或以多個較短脈衝提供。脈衝可循序地提供。在一些實施例中,矽烷化劑係以從約0.1至約60秒之1至25個脈衝提供。在一些實施例中,矽烷化劑係以約0.1至約60秒、約1至30秒或約25秒之單一脈衝提供。在脈衝之間,矽烷化劑可從反應空間移除。例如,反應室可排空及/或以惰性氣體沖洗。沖洗可例如持續約1至30秒或更長。沖洗反應室意指比如藉由以真空泵排空室及/或藉由以比如氬或氮之惰性氣體置換反應器內之氣體而從反應室移除氣相劑及/或氣相副產物。在一些實施例中,將基板自包括鈍化劑之反應空間移動。
在一些實施例中,矽烷化係經由使矽化合物(例如Cl-Si(CH3 )3 )與經Si-OH終端之含矽介電表面反應來實現:Si-OH + Cl-Si(CH3 )3 -> Si-O-Si(CH3 )3 + HCl。因此,在一些實施例中,在提供矽化合物之前形成適當表面終端。使用具有含有配位子的較長碳之矽化合物亦為可能的。
在一些實施例中,藉由使含矽表面與一或多種矽烷,比如,矽烷、二矽烷或三矽烷接觸來處理含矽表面。在一些實施例中,矽烷具有化學式Sin H2n+2 (n係等於或大於1的整數),或環狀矽烷家族且具有化學式Sin H2n (n係等於或大於3的整數)。在一些實施例中,矽烷為乙矽烷Si2 H6 或三矽烷Si3 H8 。在一些實施例中,矽烷具有式:SiHx Ly ,其中L為選自包括以下之基團的配位子:烷基、烯基、炔基、烷氧化物及胺。在一些情況下,L為選自以下鹵化物基團之配位子:F、Cl、Br及I。
在一些實施例中,含矽表面用三甲基氯矽烷(CH3 )3 SiCl (TMCS)或用其他類型的具有式R3-x SiXx 之烷基鹵基矽烷予以處理,其中x為從1至3的整數且各R可獨立地選擇為C1 至C5 烴,比如甲基、乙基、丙基或丁基,較佳地甲基,且X為鹵化物基,較佳地氯化物基。美國專利第6,391,785號揭示各種表面修飾及處理,且全文併入本文中。在一些實施例中,美國專利第6,391,785號中所揭示的表面修飾或處理中之任一者可用於本文中所揭示之方法中。
在一些實施例中,矽烷化製程之溫度可為,例如,約50°C至約500°C,或約100°C至約300°C。
矽烷化製程期間的壓力可例如為約10-5至約760托,或在一些實施例中,約1至10托或約0.1至10托。
在一些實施例中,隨後使經矽烷化的表面暴露於電漿中以活化表面以用於後續催化劑之化學吸附。在一些實施例中,電漿為H2 電漿。電漿處理可在聚合物鈍化層形成於金屬表面上之前或之後進行。
在一些實施例中,矽烷化製程及/或電漿處理可原位進行,亦即,在與沉積製程之其他部分相同之反應室中,例如相對於金屬表面在介電表面上選擇性沉積鋁催化劑及/或相對於金屬表面在介電表面上之後續選擇性沉積氧化矽。然而,在一些實施例中,矽烷化及/或電漿處理可與一或更多其他處理步驟不同的的反應室中實行。在一些實施例中,在其中實行矽烷化之反應室係包括一或多個額外反應室之群集工具的部件。舉例而言,此類群集工具可包括的額外反應室,其用於電漿處理經矽烷化介電表面、用於在金屬表面上形成聚合物鈍化層,用於在介電表面上沉積鋁催化劑,用於在介電表面上沉積氧化矽,及/或用於蝕刻一或多個層。在一些實施例中,群集工具包括單獨模組,其用於預處理、矽烷化介電表面、在金屬表面上形成聚合物鈍化層、選擇性沉積鋁催化劑、選擇性沉積氧化矽及隨後之沉積後處理,比如蝕刻以將聚合物鈍化層自金屬表面移除。在一些實施例中,相同模組可用於兩或更多個製程。
在一些實施例中,可在沉積製程之前或在其開始時或在選擇性沉積製程的一或更多步驟之前或之後預處理或清潔基板。在一些實施例中,可在沉積製程之前或在其開始時使基板經歷電漿清潔製程。在一些實施例中,電漿清潔製程可不包括離子轟擊,或可包括相對少量之離子轟擊。在一些實施例中,可在鈍化製程及/或選擇性金屬氧化物沉積製程之前或在其開始之時使基板表面暴露至電漿、自由基、受激發物種及/或原子物種。在一些實施例中,可在沉積製程之前或在其開始時使基板表面暴露於氫電漿、自由基或原子物種中。
在一些實施例中,在相對於金屬表面將催化劑選擇性地沉積於介電表面上之前,介電表面未經鈍化。 相對於介電表面在金屬表面上的聚合物鈍化層之選擇性沉積
聚合物鈍化層可相對於包括聚合物鈍化層之金屬表面有助於在介電表面上選擇性形成氧化矽。在一些實施例中,聚合物鈍化層可包括,例如,自組裝單層(SAM)、聚醯亞胺層或不同的聚合物,比如聚酯或像是尼龍的聚醯胺。聚合物鈍化層可充當抑制在金屬或含金屬表面上催化劑的化學吸附及隨後之氧化矽的沉積,從而促進氧化矽在介電表面上之選擇性沉積。術語「鈍化」為標記且無需意味著金屬表面上氧化矽層沉積之100%去活化。如本文中於別處所述,即使不完全之選擇性可足以在回蝕製程之後獲得完全選擇性之結構。
在一些實施例中,經選擇性沉積之聚合物為聚醯亞胺。在一些實施例中,經沉積之聚合物為聚醯胺。經沉積聚合物之其他實施例包括二聚體、三聚體、聚脲層、聚噻吩聚胺基甲酸酯、聚硫脲、聚酯、聚亞胺、上述材料之其他聚合形式或混合物。經氣相沉積的有機材料包括聚醯胺酸,其可為聚合物形成之前驅物。經選擇性沉積的層可為包括聚合物及聚醯胺酸之混合物,其出於本揭示案之目的將視為聚合物。
如圖2C中所繪示,聚合物鈍化層可相對於基板之介電表面選擇性地沉積於基板之金屬或含金屬表面上。包括聚合物之此表面可稱為經鈍化之金屬層。
聚合物鈍化層可藉由多種方法沉積,包括比如化學氣相沉積(CVD)、原子層沉積(ALD)及分子層沉積(MLD)的氣相沉積方法及液相沉積方法。用於選擇性沉積聚合物層之一些例示性方法揭示於聚醯亞胺薄膜之原子層沉積(Atomic Layer Deposition of Polyimide Thin Films)(Putkonen et al. J. Mater. Chem. 2007. 17:664-669)及藉由分子層沉積之有機及無機-有機薄膜結構:評論(Organic and Inorganic-Organic Thin Film Structures by Molecular Layer Deposition:A Review)(Sundberg and Karppinen. Beilstein J. Nanotechnol. 2014. 5:1104-1136),其全部揭露內容基於所有目的在此以引用方式併入本文中。以下提供充當聚合物鈍化層之聚合物層之選擇性沉積之進一步資訊及實施例。
可使用各種反應物來藉由氣相沉積,比如,藉由ALD或CVD形成聚合物鈍化層。
在一些實施例中,使基板與第一反應物及第二反應物接觸。在一些實施例中,依序提供反應物。然而,在一些實施例中,基板可在與第一反應物接觸之前先與第二反應物接觸。
在一些實施例中,反應物不含有金屬原子。在一些實施例中,反應物不含有半金屬原子。在一些實施例中,反應物中之一者包括金屬或半金屬原子。在一些實施例中,反應物含有碳及氫及以下元素中之一或多者:N、O、S、P或鹵化物,比如Cl或F。在一些實施例中,第一反應物可包括,例如,己二醯氯(AC)。
沉積條件可視所選反應物而不同且可根據選擇予以最佳化。在一些實施例中,反應溫度可選自約80℃至約250℃之範圍。在一些實施例中,反應室壓力可為約1毫托至約1000毫托。在一些實施例中,例如,當經選擇性沉積之有機層包括聚醯胺時,反應溫度可選自約80℃至約150℃之範圍。在一些實施例中,當經選擇性沉積之有機層包括聚醯胺時,反應溫度可大於約80℃、90℃、100℃、110℃、120℃、130℃、140℃或150℃。在一些實施例中,當經選擇性沉積之有機層包括聚醯亞胺時,反應溫度可大於約160℃、180℃、190℃、200℃或210℃。
例如,就依序沉積聚醯亞胺而言,在一些實施例中,基板溫度可選自約150℃至約250℃或約170℃至約210℃之範圍,且壓力可選自約1毫托至約760托之範圍、更特定言之介於約100毫托與約100托之間。
在一些實施例中,用於本文中所描述之聚合物鈍化層選擇性沉積製程之反應物可具有以下通式:
(1)         R1 (NH2 )2
其中R1 可為包括1-5個碳原子、2-5個碳原子、2-4個碳原子、5個或更少個碳原子、4個或更少個碳原子、3個或更少個碳原子或2個碳原子的脂族碳鏈。在一些實施例中,反應物或前驅物中之碳原子之間的鍵可為單鍵、雙鍵、參鍵或其一些組合。因此,在一些實施例中,反應物可包括兩個胺基。在一些實施例中,反應物之胺基可佔據脂族碳鏈上之一個或兩個末端位置。然而,在一些實施例中,反應物之胺基可不佔據脂族碳鏈上之任一末端位置。在一些實施例中,反應物可包括二胺。在一些實施例中,反應物可包括選自以下群組的有機前驅物:1,2-二胺基乙烷(l)、1,3-二胺基丙烷(l)、1,4-二胺基丁烷(l)、1,5-二胺基戊烷(l)、1,2-二胺基丙烷(l)、2,3-丁二胺、2,2-二甲基-1,3-丙二胺(l)。
在一些實施例中,用於本文中所描述之選擇性沉積製程之反應物可具有以下通式:
(2)         R2 (COCl)2
其中R2 可為包括1-3個碳原子、2-3個碳原子或3個或更少個碳原子的脂族碳鏈。在一些實施例中,反應物或前驅物中之碳原子之間的鍵可為單鍵、雙鍵、參鍵或其一些組合。在一些實施例中,反應物可包括氯化物。在一些實施例中,反應物可包括二醯基氯。在一些實施例中,反應物可包括選自以下群組的有機前驅物:乙二醯氯(I)、丙二醯氯及反丁烯二醯氯。
在一些實施例中,反應物包括選自以下群組的有機前驅物:1,4-二異氰酸丁烷或1,4-二異氰酸苯。在一些實施例中,反應物包括選自以下群組的有機前驅物:對酞醯二氯、烷二醯二氯(比如,己二醯二氯、辛二醯二氯、壬二醯二氯、癸二醯二氯)或對酞醯二氯。在一些實施例中,反應物包括選自以下群組的有機前驅物:1,4-二異硫氰酸苯或對苯二醛。在一些實施例中,經汽化之反應物亦可為二胺,比如1,4-二胺基苯、癸烷-1,10-二胺、4-硝基苯-1,3-二胺、4,4'-氧基二苯胺或乙二胺。在一些實施例中,反應物可為對苯二甲酸雙(2-羥乙基)酯。在一些實施例中,反應物可為羧酸,例如,烷基-、烯基-、鏈二烯基-二羧酸或三羧酸,比如乙二酸、丙二酸、丁二酸、戊二酸或丙烷-1,2,3-三羧酸。在一些實施例中,反應物可為芳族羧酸或二羧酸,比如苯甲酸、苯-1,2-二羧酸、苯-1,4-二羧酸或苯-1,3-二羧酸。在一些實施例中,反應物可包括一或多個鍵結至烴之OH-基團。在一些實施例中,反應物可選自以下之群組:二醇、三醇、胺基酚,比如,4-胺基酚、苯-1,4-二醇或苯-1,3,5-三醇。在一些實施例中,反應物可為8-喹啉酚。在一些實施例中,反應物可包括烯基氯矽烷,像是烯基三氯矽烷,比如7-辛烯基三氯矽烷。
在一些實施例中,反應物可在約20℃或室溫之溫度下具有大於約0.5托、0.1托、0.2托、0.5托、1托或更大之蒸氣壓。在一些實施例中,反應物可具有小於約400℃、小於300℃、小於約250℃、小於約200℃、小於約175℃、小於約150℃或小於約100℃之沸點。
在一個實施例中,金屬表面上的聚合物鈍化層包括自組裝單層(SAM)。例如,SAM可選擇性地形成於屬表面上,而不形成於介電表面上。在一些實施例中,SAM為硫醇或含硫SAM。在一個實施例中,金屬表面在SAM形成之前用酸處理作預處理。
在一些實施例中,SAM鈍化層可藉由使基板與含硫單體,比如,1-十二烷硫醇(CH3 (CH2 )11 SH)(其可稱為硫醇SAM前驅物或單體)或另一SAM單體,比如,三氯(十八烷基)矽烷接觸而選擇性地形成於金屬表面上。
如上文所指出,沉積於介電表面上之任何材料可藉由回蝕製程移除。在一些實施例中,在選擇性沉積氧化矽之後的蝕刻製程可自基板之第一表面及第二表面兩者移除經沉積之有機材料。在一些實施例中,蝕刻製程可為各向同性。
在一些實施例中,蝕刻製程可自金屬及介電表面移除相同量或厚度之材料。亦即,在一些實施例中,沉積於第一表面上之有機材料之蝕刻速率可實質上類似於沉積於第二表面上之有機材料之蝕刻速率。歸因於沉積製程之選擇性本質,沉積於基板之介電表面上之有機材料的量實質上小於沉積於基板之金屬表面上之材料的量。因此,蝕刻製程可自基板之介電表面完全地移除經沉積之有機材料,同時經沉積之有機材料可保留於基板之金屬表面上。
在一些實施例中,不需要金屬表面之聚合物鈍化且催化劑相對於金屬表面選擇性地沉積於介電表面上,其中金屬表面未經鈍化。 相對於金屬表面在介電表面上之催化劑的選擇性沉積
用於隨後沉積氧化矽之催化劑可相對於基板之金屬表面選擇性地沉積於基板之介電表面上。包括催化劑之此表面可稱為催化介電表面。在一些實施例中,不需要介電表面之鈍化且催化劑相對於金屬表面選擇性地沉積於介電表面上,其中介電表面未經鈍化。在一些實施例中,不需要金屬表面之鈍化且催化劑相對於金屬表面選擇性地沉積於介電表面上,其中金屬表面未經鈍化。然而,在一些實施例中,藉由如上述之介電表面鈍化及/或如上述之金屬表面鈍化來促進或改善催化劑之選擇性沉積。因此,在一些實施例中,將催化劑相對於經鈍化金屬表面選擇性地沉積於經鈍化介電表面上。在一些實施例中,不使用催化劑。例如,在一些實施例中,基板表面可本身催化氧化矽沉積而不需要進一步催化劑。
如圖2D中所示,在一些實施例中,鋁催化劑250相對於金屬表面210選擇性地沉積於介電表面220上。在一些實施例中,相對於如本文所述已藉由聚合物層240鈍化之金屬表面210,在如本文所述已藉由矽烷化化合物鈍化的介電表面220上選擇性地沉積鋁催化劑250。
在視情況選擇性地在介電及金屬表面上形成鈍化層之後,在一些實施例中,藉由使基板與催化劑化合物接觸,將催化劑選擇性地沉積於介電表面上。催化劑在基板之介電表面上形成催化部位達一分子層。催化劑化合物較佳自氣相矽醇反應物催化氧化矽之形成。簡言之,使基板暴露至矽醇,比如TPS,並且於介電表面上方形成氧化矽膜,比如二氧化矽膜,例如,SiO2 膜,如圖2E所示。氧化矽膜260通常包括多個分子層。如有必要,可重複暴露於催化劑及矽醇之循環以沉積具有所欲厚度之二氧化矽膜。在一些實施例中,可控制矽醇濃度以達成所要的沉積速率。
在一些實施例中,催化劑為金屬催化劑。催化劑可為,例如,包括Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga之金屬化合物。在一些實施例中,催化劑係金屬鹵化物、有機金屬化合物或金屬有機化合物。在一些實施例中,催化劑為包括硼之化合物。
在一些實施例中,催化劑為可與疏水性表面反應之烷基鋁、烷基硼或烷基鋅化合物。例如,催化劑可包括三甲基鋁(TMA)、三乙基硼(TEB)或二乙基鋅。
在一些實施例中,催化劑包括具有式MRx A3-x 之化合物,其中x為1至3的整數,R為C1 至C5 烷基配位子,M為B、Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga,且A為鹵化物、烷基胺、胺基、矽烷基或其衍生物。在一些實施例中,R為C1 至C3 烷基配位子。在一些實施例中,R為甲基或乙基基團。在一些實施例中,M為硼。在一些實施例中,催化劑為ZnRx A2-x ,其中x為1至2之整數,R為C1 至C5 烷基配位子,且A為鹵化物、烷基胺、胺基、矽烷基或其衍生物。在一些實施例中,R為C1 至C3 烷基配位子。在一些實施例中,R為甲基或乙基基團。
在一些實施例中,催化劑為鋁催化劑。可使用的Al化合物之實例包括三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三(異丙氧基)鋁(TIPA)或三乙基鋁(TEA)。在一些實施例中,鋁催化劑包括雜配鋁化合物。在一些實施例中,雜配鋁化合物包括烷基基團及另一配位子,比如,鹵化物,例如Cl。在一些實施例中,鋁催化劑包括二甲基氯化鋁。在一些實施例中,鋁催化劑包括含有兩個不同烷基基團作為配位子之烷基前驅物。在一些實施例中,鋁化合物為異丙醇鋁。在一些實施例中,鋁催化劑包括金屬有機化合物。在一些實施例中,鋁催化劑包括有機金屬化合物。在一些實施例中,鋁催化劑係鋁化合物,比如三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三異丙氧基鋁(TIPA)或三乙基鋁(TEA)。
在一些實施例中,催化劑為鋯化合物,比如Zr-DO4 。在一些實施例中,催化劑為四(乙基甲基胺基)鋯(TEMAZ)。在一些實施例中,催化劑為ZrCl4
在一些實施例中,催化劑為鑭化合物,比如三(異丙基-環戊二烯基)鑭(LA(iPrCp)3 )。
在一些實施例中,催化劑為鈦化合物,比如異丙醇鈦(TTIP)或TiCl4
在一些實施例中,催化劑為鎵化合物,比如三甲基鎵(TMG)。
在一些實施例中,催化劑為鉿化合物,比如HfCl4 或Hf(NO34
催化劑可以單一脈衝或在多個脈衝序列提供至固持基板之反應室。在一些實施例中,催化劑係以單一長脈衝或以多個較短脈衝提供。脈衝可循序地提供。在一些實施例中,催化劑係以從約0.1至約60秒之1至25個脈衝提供。在一些實施例中,催化劑係以約0.1至約60秒、約1至30秒或約25秒之單一脈衝提供。在脈衝之間,可自反應空間移除過量催化劑。例如,反應室可排空及/或以惰性氣體沖洗。沖洗可例如持續約1至30秒或更長。沖洗意指,比如,藉由以真空泵排空室及/或藉由以惰性氣體置換反應室內之氣體而從反應室移除氣相催化劑及/或氣相副產物。在一些實施例中,藉由自包括氣相催化劑之反應空間移動基板,而自基板表面移除氣相催化劑。
在一些實施例中,選擇性催化劑沉積之溫度可為,例如,約50°C至約500°C,或約100°C至約300°C。在一些實施例中,沉積溫度介於約50°C與約400°C之間。在一些實施例中,沉積溫度大於約100°C且催化化學品為烷基鋁化合物,例如TMA。在一些實施例中,烷基鋁化合物為異丙氧化二甲基鋁(DMAI),且沉積溫度為約100°C至約400°C、約100至約200、約200°C至約400°C或約250°C至約350°C。在一些實施例中,烷基鋁化合物為異丙氧化二甲基鋁(DMAI),且沉積溫度為約150°C。在一些實施例中,烷基鋁化合物為異丙氧化二甲基鋁(DMAI),且沉積溫度為約300°C。在一些實施例中,催化化學品為烷基硼化合物,比如TEB,且沉積溫度介於約50°C與約400°C之間、介於約100°C與約350°C之間或介於約100°C與約300°C之間。在一些實施例中,催化化學品為烷基硼化合物且溫度大於約100°C。在一些實施例中,沉積溫度大於約300°C且催化化學品為TEB。選擇性催化劑沉積之溫度可根據所用的鈍化層於選擇以允許使用單一沉積溫度。
在一些實施例中,催化劑包括金屬化合物,其藉由使基板與金屬前驅物及氧反應物接觸而選擇性沉積。在一些實施例中,催化劑包括金屬氧化物。在一些實施例中,金屬化合物藉由ALD製程選擇性地沉積。在一些實施例中,在一個、兩個或更多個沉積循環中,使基板同時或依序地與第一金屬前驅物及包括氧之第二反應物接觸。在一些實施例中,沉積製程包括複數個沉積循環,其中使基板交替且依序地與第一金屬前驅物及第二反應物接觸。
在一些實施例中,第一金屬前驅物為疏水性路易斯酸。疏水性金屬反應物可包括至少一個疏水性烴配位子,比如烷基、烯基、環狀C3 -C8 或芳族基。在一些實施例中,第一金屬前驅物可為雙(甲基環戊二烯基)甲氧基甲基鋯。
在一些實施例中,第一金屬前驅物包括過渡金屬。在一些實施例中,第一前驅物不包括貴金屬,比如Ru。
在一些實施例中,第一金屬前驅物可包括至少一個烷基配位子,比如C1 至C4 烷基配位子。在一些實施例中,第一金屬前驅物可包括有機金屬或金屬有機化合物。在一些實施例中,第一金屬前驅物可包括至少一個環戊二烯基(Cp)配位子。在一些實施例中,第一金屬前驅物可包括甲脒鹽或脒鹽化合物。在一些實施例中,第一金屬前驅物可包括β-二酮鹽化合物。在一些實施例中,第一金屬前驅物可包括烷基胺基化合物,比如二烷基胺基化合物。在一些實施例中,第一金屬前驅物可包括烷基胺基配位子,比如–NMe2 、-NEt2 或-NEtMe。
在一些實施例中,第一金屬前驅物可包括鎂。在一些實施例中,第一金屬前驅物可為包括鎂之有機金屬或金屬有機化合物。例如,在一些實施例中,第一金屬前驅物可包括Mg(Cp)2 或其衍生物。
在一些實施例中,第一金屬前驅物可包括鑭。在一些實施例中,第一金屬前驅物可為包括鑭之有機金屬化合物。在一些實施例中,第一金屬前驅物可包括甲脒鑭(La(FAMD)3 )。
在一些實施例中,第一金屬前驅物可包括鉿。在一些實施例中,第一金屬前驅物可包括含鉿之有機金屬化合物。例如,在一些實施例中,第一金屬前驅物可包括烷基胺基鉿化合物,比如四(甲基乙基烷基胺基)鉿(TEMAH,Hf(NEtMe)4 )或其衍生物。
在一些實施例中,第一金屬前驅物具有以下化學式: MgL2 (I)
其中Mg為鎂,且其中各L可獨立地選為烴基。在一些實施例中,各L可為直鏈、支鏈、環狀烷基或不飽和烴基,比如,烯基、炔基、芳族、環戊二烯基、苯基、環辛二烯基或環庚三烯基基團。在一些實施例中,一或兩個L可為環戊二烯基團。在一些實施例中,一或兩個L可為雙牙配位子,比如,β-二酮鹽、胍鹽或脒鹽。在一些實施例中,β-二酮基配位子可為乙醯丙酮基或2,2,6,6-四甲基-3,5-庚二酮(THD)。
在一些實施例中,第一金屬前驅物為環戊二烯基化合物或其衍生物,比如,經烷基取代之環戊二烯基化合物且具有以下化學式: Mg(R1 R2 R3 R4 R5 Cp)2 (II)
其中各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團可獨立地經選擇為氫或經取代或未經取代之烷基基團。在一些實施例中,各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團可獨立地經選擇為氫或直鏈或支鏈C1 至C5 烷基基團。在一些實施例中,各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團可獨立地經選擇為氫或C1 至C3 烷基基團,比如,甲基、乙基、正丙基或異丙基基團。在一些實施例中,第一前驅物為Mg(Cp)2
在一些實施例中,第一金屬前驅物包括一或多個配位子,比如,環戊二烯基(「Cp」)配位子。此等第一前驅物化合物可選自由下列化合物所組成之群組: (Cp)x La                                    (III); (Cp)x Ly La                           (IV); (Cp)x Wn La                         (V); (CP)x Ly Wn La                             (VI);
La為鑭,Cp為環戊二烯基或環辛二烯基基團,使得化學式I-IV中之Cp基團可彼此相同或彼此不同;x表示Cp配位子之數目且其係自1至高達La之氧化態的整數;應注意通常將環辛二烯縮寫為Cod,但此處針對環戊二烯基及環辛二烯基兩者皆使用單一共同縮寫Cp來簡化表示;
Ly為自其原子中之一或多者結合至金屬之中性加成配位子且其中y表示結合配位子之數目;及
W係具有較Cp小一之價數的一些其他配位子且其中n表示配位子之數目。在一些實施例中,W為脒鹽或甲脒鹽。在一些實施例中,W為β-二酮鹽或其對應的硫或氮化合物、鹵化物、醯胺、烷氧化物、羧酸根或希夫鹼(Schiff's base)。
在化學式I-IV中,環戊二烯基及/或環辛二烯基基團可係在相同分子中,使得在兩個Cp基團之間存在一橋,其由可包括選自Si、N、P、Se、S或B之雜原子的經取代或未經取代C1 -C6 鏈組成。
在一些實施例中,L係經獨立選擇的: (i)烴, (ii)包括氧之烴, (iii)包括氮之烴, (iv)包括硫之烴, (v)包括磷之烴, (vi)包括砷之烴, (vii)包括硒之烴及/或 (viii)包括碲之烴。
在一些實施例中,L係經獨立選擇的: (a)胺或聚胺, (b)聯吡啶, (c)根據以下化學圖示的配位子:
Figure 02_image001
其中G係—O—、—S—或—NR1 ,其中R1 係經獨立選擇的氫或經取代或未經取代、環狀、直鏈或支鏈烷基、烯基、芳基、烷基芳基、芳基烷基、烷氧基、硫基、氰基或矽烷基基團。R1 中之環狀或芳族環可包括雜原子。於化學式V中,氫或R1 型取代基亦可連接至碳原子,或 (d)醚或硫醚。
化學式I-IV中之環戊二烯基或環辛二烯基基團Cp具有以下形式: Cp'Rm Ha-m (VII) 其中當a為8時,m為0至8之整數,且當a為5時,m為0至5之整數, Cp'係經稠合或分離的環戊二烯基或環辛二烯基,及 R係經獨立選擇之包括1-6個碳原子的烴片段,比如,C1 -C6 烴。
在一些實施例中,各R配位子可係與各其他的R配位子相同,或各R配位子可彼此不同。換言之,各R配位子可經獨立選擇的。在一些實施例中,R可係經取代或未經取代、環狀、直鏈或支鏈烷基烯基、芳基、烷基芳基、芳基烷基、烷氧基、硫基、胺基、氰基或矽烷基基團。取代基之環狀或芳族環可包括雜原子。取代基之實例為甲基、乙基、丙基及異丙基基團。
化學式II及IV中所示之中性加成配位子L可係經獨立選擇的醚、胺或溶劑分子,比如,與具有一個原子之金屬形成鍵之四氫呋喃。與具有若干原子之金屬形成鍵之適宜中性加成配位子的實例係聚醚及聚胺。
在一些實施例中,第一金屬前驅物可包括至少一個環戊二烯基配位子且可根據式VIII來書寫:
(R1 R2 R3 R4 R5 Cp)x —MR0 z —(R6 )y (VIII) 其中M係選自由Mg、Sr、Ba、Sc、Y及鑭系元素所組成之群組之金屬; 其中各R0 基團、各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團可獨立地選自: i.          氫; ii.          直鏈及支鏈C1- C6 烷基、烯基及炔基基團,其係獨立地經取代或未經取代; iii.          碳環基,比如,芳基、苯基、環戊二烯基、烷基芳基及鹵化碳環基;及 iv.          雜環基; 其中R6 係獨立地選自: i.            氫; ii.            直鏈及支鏈C1- C6 烷基、烯基及炔基基團,其係獨立地經取代或未經取代; iii.            碳環基,比如,芳基、苯基、環戊二烯基、烷基芳基及鹵化碳環基; iv.            雜環基;及 v.            N1 R2 ; 及 其中x及y兩者皆為≥1且z≥0。
在一些實施例中,包括環戊二烯基化合物之第一金屬前驅物包括至少一個經由氮結合至金屬之配位子,如由式IX所描繪:
(R1 R2 R3 R4 R5 Cp)x —MR0 z —(NR1 R2 )y (IX) 其中M係選自由Mg、Sr、Ba、Sc、Y或鑭系元素所組成之群組之金屬; 其中各R0 基團、各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團是獨立地選自: i.          氫; ii.          直鏈及支鏈C1- C6 烷基、烯基及炔基基團,其係獨立地經取代或未經取代; iii.          碳環基,比如,芳基、苯基、環戊二烯基、烷基芳基及鹵化碳環基;及 iv.          雜環基;及 其中x及y兩者皆為≥1且z≥0。
在式IX中,烷基、烯基及炔基基團可選自任何具有1至6個碳原子之直鏈或支鏈烷基、烯基及炔基基團。烷基基團之實例包括甲基;乙基;正及異丙基;正、異及第三-丁基;正及異戊基(isoamyl);正及異戊基(isopentyl);正及異己基;及2,3-二甲基-2-丁基。在一些實施例中,使用烷基基團。在其他實施例中,C1-6 烯基及炔基基團包括具有相應不飽和度的相應基團。
在一些實施例中,第一金屬前驅物係具有至少一個環戊二烯基配位子及至少一個鉗合配位子(例如,雙牙配位子)的化合物。在一些實施例中,此化合物係由如下之式X, (R1 R2 R3 R4 R5 Cp)x —MR0 z —(NR1 NR2 R)y 所描繪:
Figure 02_image003
其中M係選自由Mg、Sr、Ba、Sc、Y或鑭系元素所組成之群組之金屬; 其中R可係任何直鏈及支鏈C1 -C6 烷基、烯基或炔基,其係獨立地經取代或未經取代且R可於烷基、烯基及炔基之任何點結合至兩個橋接氮原子; 其中各R0 基團、各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團可獨立地選自: i.          氫; ii.          直鏈及支鏈C1- C6 烷基、烯基及炔基基團,其係獨立地經取代或未經取代; iii.          碳環基,比如,芳基、苯基、環戊二烯基、烷基芳基及鹵化碳環基;及 iv.          雜環基;及 其中x及y兩者皆為≥1且z≥0。
在一些其他實施例中,第一金屬前驅物可由式XI, (R1 R2 R3 R4 R5 Cp)x —MR0 z —[(NR1 NR2 )CNR3 ]y 描繪如下:
Figure 02_image005
其中M係選自由Mg、Sr、Ba、Sc、Y或鑭系元素所組成之群組之金屬; 其中各R0 基團、各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團可獨立地選自: i.          氫; ii.          直鏈及支鏈C1- C6 烷基、烯基及炔基基團,其係獨立地經取代或未經取代; iii.          碳環基,比如,芳基、苯基、環戊二烯基、烷基芳基及鹵化碳環基;及 iv.          雜環基;及 其中x及y兩者皆為≥1且z≥0。
在其他實施例中,第一金屬前驅物係由式XII, (R1 R2 R3 R4 R5 Cp)x —MR0 z —[(NR1 NR2 )CNR3 R4 ]y 描繪如下:
Figure 02_image007
(XII) 其中M係選自由Mg、Sr、Ba、Sc、Y或鑭系元素所組成之群組之金屬; 其中各R0 基團、各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團可獨立地選自: i.           氫; ii.          直鏈及支鏈C1- C6 烷基、烯基及炔基基團,其係獨立地經取代或未經取代; iii.          碳環基,比如,芳基、苯基、環戊二烯基、烷基芳基及鹵化碳環基;及 iv.          雜環基;及 其中x及y兩者皆為≥1且z≥0。
在一些實施例中,如式VIII-XII中所述之第一金屬前驅物可包括R0 、R1 、R2 、R3 、R4 、R5 及R6 ,其中各R0 基團、各R1 基團、各R2 基團、各R3 基團、各R4 基團及各R5 基團及各R6 基團可獨立地選自 i.          氫; ii.          直鏈及支鏈C1- C6 烷基、烯基及炔基基團,其係獨立地經取代或未經取代; iii.          碳環基,比如,芳基、苯基、環戊二烯基及烷基芳基;及 iv.          雜環基
視情況,如所述之第一金屬前驅物可包括經修飾的環戊二烯基。在一些實施例中,經修飾的環戊二烯基係選自由Me5 Cp、MeCp、EtCp及Me3 SiCp所組成之群組。在其他實施例中,第一金屬前驅物可包括陰離子性或二陰離子性胍鹽配位子,比如,三異丙基胍鹽配位子。
在一些實施例中,第二反應物包括氧且在文中可稱作氧前驅物、氧反應物、含氧前驅物或含氧反應物。在一些實施例中,第二反應物包括分子氧(O2 )。在一些實施例中,第二反應物不包括除O2 之外的含氧化合物。在一些實施例中,第二反應物不包括O3 或H2 O。在一些實施例中,第二反應物不包括電漿,例如氧電漿。在一些實施例中,第二反應物係供給有,比如,N2 、He或Ar之惰性氣體或與其混合。
在一些實施例中,第二反應物包括分子氧及少於約50%、25%、15%、10%、5%、1%或0.1%之除惰性氣體外之雜質。
[0118]     在一些實施例中,選擇性催化劑沉積製程可原位進行,亦即在與先前鈍化及/或後續沉積製程(例如,氧化矽在介電表面上之後續選擇性沉積)相同的反應室中。然而,在一些實施例中,選擇性催化劑沉積可在與一或多個後續處理步驟分開之反應室中實行,例如,在作為群集工具之一部分的一個室中。
在一些實施例中,基板可在選擇性催化劑沉積之前或在其開始時經預處理或清潔。 相對於金屬表面在催化介電表面上之氧化矽的選擇性沉積
在介電及/或金屬表面之鈍化(若進行的話)及介電表面上之催化劑之選擇性沉積(若進行的話)之後,氧化矽可相對於金屬表面選擇性地沉積於基板之介電表面上。在一些實施例中,藉由使基板與矽反應物,比如,矽醇接觸將氧化矽選擇性地沉積於介電表面上(參見如圖 2E)。在一些實施例中,基板表面與矽反應物及氧反應物(比如,H2 O)接觸。氧化矽之形成係藉由催化劑在介電表面上之存在催化,或者,在一些實施例中,當不使用單獨的催化劑時,由表面本身催化。於一些實施例中,催化劑為金屬催化劑。在一些實施例中,經沉積氧化矽中之金屬含量小於約3 at. %、小於約2.5 at. %、小於約1.5 at. %或小於約1 at. %。在一些實施例中,金屬催化劑包括鋁。在一些實施例中,經沉積氧化矽中之鋁含量小於約3 at. %、小於約2.5 at. %、小於約1.5 at. %或小於約1 at. %。
可使用一或多種矽醇作為矽反應物,比如,烷氧基矽醇或烷氧基矽二醇。在一些實施例中,矽反應物可包括於或多於三(第三-烷氧基)矽醇、二(烷氧基)烷基矽醇、二(烷氧基)矽二醇或雙(第三-烷氧基)矽二醇。在一些實施例中,矽烷烷醇可選自三(三級丁氧基)矽醇(TBS)、三(異丙氧基)矽醇(TIS)、與三(三級-戊氧基)矽醇(TPS)中之一或多者。矽醇為包括有矽結合至一或多個羥基(OH)基團的化合物。在一些實施例中,矽醇包括直接鍵結至矽原子之超過一個的OH基團。矽醇化合物包括,但不限於,烷氧基矽烷醇、烷氧基烷基矽醇及烷氧基矽烷二醇。在一些實施例中,矽前驅物包括TPS。在一些實施例中,矽源係二(烷氧基)矽二醇。
在一些實施例中,在催化劑沉積於介電表面上之後僅提供單一矽醇脈衝。在一些實施例中,使用單一矽醇脈衝來沉積厚度大於5埃之二氧化矽膜,如在基板上介電表面之頂部表面上所量測。如上文所論述,在一些實施例中,可在一或多個氧化矽沉積子循環中使基板與催化劑及矽醇接觸。可重複子循環直至已將所欲厚度之氧化矽膜選擇性地形成於介電表面上為止。在一些實施例中,可能僅需要單一子循環即可獲得所需厚度之二氧化矽膜。在其他實施例中,步驟可重複2、3、4、5、6、7、8、9、10次或更多次。
在一些實施例中,在各沉積循環中提供多於一個的矽醇脈衝。例如,催化劑脈衝後可接著進行兩個、三個或更多個矽醇脈衝。於一些實施例中,催化劑脈衝之後有兩個矽醇脈衝。於一些實施例中,單一催化劑脈衝之後有至少10個,例如,15或20個矽醇脈衝。每一個矽醇脈衝可藉由沖洗步驟來分開。在其他實施例中,在一預定時間延遲之後提供各矽烷脈衝,而無中間的沖洗步驟。
雖然一般敘述為以提供催化劑作為開始,但各氧化矽沉積子循環可始於任一反應物。然而,如熟習此項技術者可理解的,若第一子循環始於矽醇反應物,則沉積可能會等到第二沉積循環才開始。
關於催化劑,表面飽和確保前驅物佔據所有可得的反應部位(受到例如實體大小或「位阻(steric hindrance)」限制),且因此確保極佳之階梯覆蓋率。 然而,在一些實施例中,催化劑可以非飽和或低飽和劑量提供。因此,在一些實施例中,測量催化劑之劑量以提供預定量之催化劑及預定量之二氧化矽沉積。
關於矽醇反應物,在一些實施例中,提供矽醇之飽和脈衝。然而,由於二氧化矽之生長速率部分取決於前驅物通過生長膜之擴散,因此可例如藉由控制前驅物劑量、沖洗時間及/或熱來控制生長速率。因此,在一些實施例中,可提供非飽和劑量之矽醇。在一些實施例中,矽醇反應物之劑量及/或暴露時間可經限制以在給定反應循環中提供二氧化矽至特定厚度及/或特定深度。
在一些實施例中,藉由選擇能與介電表面反應之催化劑(視表面已接收到的任何處理而定)且進行包括一或多個二氧化矽沉積循環之沉積製程,將二氧化矽薄膜相對於金屬表面選擇性地形成於基板之介電表面上,各二氧化矽沉積循環包括: 提供包括金屬催化劑之第一氣相反應物脈衝至反應室中; 自反應室移除過量催化劑; 提供包括矽醇之第二氣相反應物脈衝至反應室;及 自反應室移除過量的第二反應物及反應副產物(若存在的話)。
在一些實施例中,相對於一或多個金屬或含金屬表面(比如,銅、鈷、氮化鈦或鎢表面)在一或多個介電表面上選擇性沉積氧化矽膜。
可視特定情形調整膜厚度。在一些實施例中,沉積範圍從數埃至數奈米的二氧化矽膜。在一些實施例中,沉積小於約2 nm之二氧化矽的薄膜。在一些實施例中,沉積小於約3 nm之二氧化矽的薄膜。在一些實施例中,催化劑及矽醇中之一或兩者以不足劑量提供,以獲得沉積小於約2 nm或小於約3 nm之膜。該薄膜可在一個沉積循環中或多個沉積循環中沉積。
在開始氧化矽沉積之前,通常將基板加熱至適宜的生長溫度。在一些實施例中,二氧化矽薄膜之生長度小於約500°C、小於約400°C、小於約300°C、小於約200°C、小於約150°C或甚至小於約125°C。 溫度通常為使催化劑不至於分解的溫度。在一些實施例中,沉積製程可在大於約100°C (例如,以三甲基鋁(TMA)作為催化劑)的環境下進行。
在一些實施例中,反應物之脈衝時間可為約0.1至約10秒,且在反應物脈衝之間的沖洗時間亦可為約0.1至約10秒。在一些實施例中,反應物的脈衝時間可大於約10秒。在一些實施例中,脈衝時間可為約0.1至約15秒或更長,或約0.1至約20秒或更長。在一些實施例中,脈衝時間可為約10至約20秒或更長。在一些實施例中,反應物之間的沖洗時間可比約10秒更長,比如,約15秒或約20秒。在一些實施例中,沖洗時間可為約0.1至約15秒或更長或約0.1至約20秒或更長。在一些實施例中,沖洗時間可為約10至約20秒或更長。
反應室中之壓力通常為約0.1 mTorr至約5 Torr,更佳地約0.1 mTorr至約3 Torr,且最佳0.2 mTorr至約3 Torr。然而,在一些情況下,壓力將高於或低於此範圍,如可由熟悉本術者輕易決定。
在一個實施例中,在氧化矽沉積子循環中,在約150°C相對於經鈍化金屬表面於基板之介電表面上沉積氧化矽(比如二氧化矽,例如,SiO2 )。將三甲基鋁(TMA)脈衝至反應室中持續150毫秒,接著進行3秒的沖洗。然後將TPS脈衝至反應室中持續100秒,接著進行90秒的沖洗。 沉積後處理
在氧化矽之選擇性沉積之後,基板可經歷沉積後清潔步驟以從金屬表面移除聚合物鈍化層,如上文所提及(參見,如圖2F)。在一些實施例中,清潔步驟可包括蝕刻。在一些實施例中,清潔步驟可包括電漿處理。在一些實施例中,清潔步驟可包括H2 電漿處理。在一些實施例中,清潔步驟在約室溫至約400℃之溫度下實行。在一些實施例中,約25至250W之電漿功率可用以在,例如,以約10至500 sccm之流速的流動H2 中生成電漿。在一些實施例中,在氧化矽層的沉積後之清潔時間可係,例如,從約0.1至600秒或更多。
在一些實施例中,相對於一或多個經鈍化金屬表面在三維結構之介電表面上選擇性地沉積薄氧化矽膜。三維結構可包括,例如,貫孔或溝槽。在一些實施例中,在沉積氧化矽膜之前,可選擇性鈍化金屬表面並於介電表面上沉積鋁催化劑。在一些實施例中,所沉積氧化矽膜中之鋁含量小於約3 at. %、小於約2.5 at. %、小於約1.5 at. %或小於約1 at. %。 選擇性
選擇性鈍化及/或選擇性沉積可係完全選擇性或部分選擇性。部分選擇性製程之後可進行沉積後蝕刻,其從一表面上方移除一些或全部的沉積材料而不從第二表面上方移除全部的沉積材料,得出完全選擇性層。因此,在一些實施例中,為了得到期望的益處,選擇性沉積不須為完全選擇性。
相對於第二表面(稱為表面B)在第一表面(此處稱為表面A)上之沉積(或鈍化)的選擇性可給定為藉由[(表面A上之沉積)-(表面B上之沉積)]/(表面A上之沉積)計算出的百分率。沉積可以各種方式之任一者測量。例如,沉積可給定為沉積材料之測量厚度,或可給定為沉積材料之測量量。在本文所述之實施例中,一表面(A)可相對於第二表面(B)選擇性鈍化。關於鈍化,若鈍化係由基板表面之處理而非層之沉積所導致,則鈍化的量可係基板表面上已與鈍化劑起反應之可得的反應部位之測量值。
在一些實施例中,(相對於金屬或含金屬表面)在介電表面上鈍化層(或矽烷化)之選擇性形成的選擇性係大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。
在一些實施例中,(相對於介電表面)在金屬表面上聚合物鈍化層之選擇性形成的選擇性係大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。
在一些實施例中,相對於經聚合物鈍化之金屬表面,在金屬或含金屬表面上催化劑之沉積的選擇性係大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。
在一些實施例中,相對於未經鈍化之金屬表面,在介電表面上催化劑之沉積的選擇性係大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。
在一些實施例中,(相對於經鈍化之金屬表面)在催化介電表面上氧化矽之沉積的選擇性係大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。
在一些實施例中,沉積僅發生在一表面上而未發生在另一表面上。
在一些實施例中,相對於基板之金屬或含金屬表面,藉由矽烷化來鈍化介電表面的選擇性係至少約80%。在一些實施例中,鈍化製程的選擇性係至少約50%。在一些實施例中,鈍化製程的選擇性係至少約10%。熟習本項技藝者將瞭解,部分選擇性製程可藉由從其他表面移除任何矽烷化物的沉積後蝕刻來得出氧化物表面的完全選擇性鈍化。
在一些實施例中,相對於基板之介電表面,藉由在金屬表面上形成聚合物層的金屬表面鈍化的選擇性係至少約80%。在一些實施例中,鈍化製程的選擇性係至少約50%。在一些實施例中,鈍化製程的選擇性係至少約10%。熟習本項技藝者將瞭解,部分選擇性製程可藉由從其他表面移除任何聚合物的沉積後蝕刻來得出金屬表面的完全選擇性鈍化。
在一些實施例中,相對於基板之經鈍化金屬表面在介電表面上沉積催化劑的選擇性為至少約80%。在一些實施例中,催化劑沉積製程的選擇性係至少約50%。在一些實施例中,催化劑沉積製程的選擇性係至少約10%。熟習本項技藝者將瞭解,部分選擇性製程可藉由從金屬表面移除任何催化劑的沉積後蝕刻來得出介電物表面上的完全選擇性鈍化。
在一些實施例中,相對於基板之經聚合物鈍化之金屬表面在基板之催化介電表面上沉積氧化矽的選擇性係至少約80%。在一些實施例中,相對於基板之經聚合物鈍化的金屬表面在基板之催化介電表面上沉積氧化矽的選擇性係至少約50%。在一些實施例中,相對於基板之經聚合物鈍化的金屬表面在基板之催化介電表面上沉積氧化矽的選擇性係至少約10%。熟習此項技藝者將瞭解,沉積後蝕刻(或其他處理)可接續在部分選擇性製程之後,其從金屬表面上方移除實質上全部的沉積材料。此外,沉積後處理亦可協助調適選擇性沉積層的位置及/或輪廓。 氧化矽在金屬或含金屬表面上之選擇性沉積
圖2A-2F示意性地繪示相對於第二金屬或含金屬表面210第一介電表面220之選擇性鈍化230之實施例,相對於介電表面220金屬表面210之選擇性聚合物鈍化240,隨後相對於經聚合物鈍化之金屬表面在介電表面220上氧化矽260之選擇性沉積。
圖2A繪示具有材料上不同之暴露表面的基板。例如,第一表面可包括介電材料220或由其界定,比如,基於氧化矽的層或具有原生氧化物形成於上之矽表面。第二表面可包括金屬210或由其界定,比如,銅(Cu)。
圖2B顯示在選擇性鈍化230(比如,藉由矽烷化)介電表面220之後圖2A之基板。例如,鈍化層230可藉由使基板暴露至矽烷化劑而選擇性形成在介電表面220上,矽烷化劑比如為烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。
圖2C顯示在聚合物鈍化層240選擇性沉積於金屬表面上(比如,藉由形成SAM或聚醯亞胺層)之後圖2B之基板。
圖2D顯示在相對於金屬表面210上之聚合物鈍化層240在介電表面220上選擇性沉積鋁催化劑250之後圖2C之基板。鋁催化劑250可藉由使基板暴露至鋁反應物而選擇性形成在介電表面220上,鋁反應物比如為三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三(異丙氧基)鋁(TIPA)或三乙基鋁(TEA)。雖然以鋁催化劑250繪示,但在其他實施例中,可使用包括其他金屬之金屬催化劑。
圖2E顯示在相對於聚合物鈍化金屬表面在催化介電表面上選擇性沉積氧化矽260之後圖2D之基板。在一些實施例中,氧化矽260係藉由使基板暴露於矽醇反應物(比如,三(三戊氧基)矽烷)而形成。矽醇反應物可分解於催化介電表面上之鋁原子上,導致氧化矽260沉積於介電表面220上。在一些實施例中,經沉積氧化矽中之來自催化劑的鋁含量或其他金屬含量小於約3 at. %、小於約2.5 at. %、小於約1.5 at. %或小於約1 at. %。
上文所述,任何沉積在金屬層上之氧化矽可藉由沉積後處理(比如,回蝕製程)來加以移除。由於氧化矽係選擇性沉積在介電表面上,聚合物鈍化表面上遺留的任何氧化矽將比介電表面上所形成之氧化矽更薄。因此,沉積後處理可經控制以在不從介電表面上方移除全部的金屬氧化物之情況下,移除在金屬表面上方全部的氧化矽。依此方式的重複選擇性沉積及回蝕可導致金屬表面上之金屬氧化物的厚度隨沉積及蝕刻之各循環增加。以此方式重複選擇性沉積及回蝕亦可導致介電表面上之氧化矽的總體選擇性增加,因為沉積及蝕刻之各循環留下了選擇性氧化矽沉積在其上方無法充分成核之乾淨的鈍化層。在其他實施例中,金屬表面上方的氧化矽可在後續的聚合物鈍化層移除期間移除。
圖2F顯示在從金屬表面210移除聚合物鈍化層240(比如,藉由蝕刻製程)的沉積後處理之後圖2E之基板。在一些實施例中,蝕刻製程可包括使基板暴露至電漿。在一些實施例中,電漿可包括氧原子、氧自由基、氧電漿或其組合。在一些實施例中,電漿可包括氫原子、氫自由基、氫電漿或其組合。在一些實施例中,電漿可包括惰性氣體物種(例如,Ar或He物種)。在一些實施例中,電漿基本上可由惰性氣體物種組成。在一些情況下,電漿可包括其他物種,例如,氮原子、氮自由基、氮電漿或其組合。在一些實施例中,蝕刻製程可包括使基板暴露至包括氧(例如,O3 )之蝕刻劑。在一些實施例中,基板可在介於約30℃與約500℃之間或介於約100℃與約400℃之間的溫度下暴露至蝕刻劑。在一些實施例中,蝕刻劑可以一個連續脈衝供應或可以多個脈衝供應。聚合物鈍化層移除可用以在循環選擇性沉積及移除中之聚合物鈍化層完全移除或在聚合物鈍化層部分移除之任一者中,從金屬層上方剝離任何剩餘金屬氧化物。
可在前述製程之前、之後或之間實行額外處理(比如,熱或化學處理)。例如,處理可修飾表面或移除在製程之不同階段經暴露之金屬、氧化矽、鈍化及金屬氧化物表面的部分。在一些實施例中,可在製程之前或在其開始之時預處理或清潔基板。在一些實施例中,基板可經歷電漿清潔製程,如上文所提及者。
雖然已討論某些實施例及實例,所屬技術領域中具有通常知識者將了解,本申請專利範圍之範疇延伸超出具體揭示之實施例至其他替代實施例及/或用途及其明顯修改與等效者。
100:沉積循環 110:步驟 120:步驟 130:步驟 140:步驟 150:步驟 160:步驟 170:步驟 210:金屬表面 220:介電表面 230:鈍化層 240:聚合物層 250:鋁催化劑 260:氧化矽
圖1為繪示用於相對於金屬表面在介電表面上選擇性沉積氧化矽之沉積製程的流程圖。 圖2A係具有第一介電表面及第二相鄰金屬表面之基板的一部分的示意性橫截面。 圖2B為在介電表面之選擇性處理後圖2A之基板的示意性橫截面。 圖2C為在金屬表面之選擇性鈍化後圖2B之基板的示意性橫截面。 圖2D為在選擇性沉積鋁催化劑於介電表面上後圖2C之基板的示意性橫截面。 圖2E為在選擇性沉積氧化矽於介電表面上後圖2D之基板的示意性橫截面。 圖2F為在自金屬表面移除聚合物鈍化材料後圖2E之基板的示意性橫截面。
100:沉積循環
110:步驟
120:步驟
130:步驟
140:步驟
150:步驟
160:步驟
170:步驟

Claims (28)

  1. 一種相對於一基板之一金屬表面在該基板之一介電表面上選擇性沉積一氧化矽之方法,該方法依序包括: 相對於該金屬表面選擇性鈍化該介電表面; 在該金屬表面上選擇性地形成一聚合物鈍化層; 使該介電表面與一金屬催化劑接觸;以及 使該介電表面與包括一矽醇之一矽反應物接觸。
  2. 如請求項1所述之方法,其中該金屬表面包括Al、Cu、Co、Ni、W、Nb、Fe及Mo中之一或多者。
  3. 如請求項1所述之方法,其中該介電表面包括氧化矽。
  4. 如請求項1所述之方法,其中選擇性地鈍化該介電表面包括使該介電表面與一矽烷化劑接觸。
  5. 如請求項4所述之方法,其中該矽烷化劑包括一烷基胺基矽烷。
  6. 如請求項5所述之方法,其中該烷基胺基矽烷具有式(RI )3 Si(NRII RIII ),其中RI 係直鏈或支鏈C1 至C5 烷基基團或直鏈或支鏈C1 至C4 烷基基團,RII 係直鏈或支鏈C1 至C5 烷基基團、直鏈或支鏈C1 至C4 烷基基團或氫,且RIII 係直鏈或支鏈C1 至C5 烷基基團或直鏈或支鏈C1 至C4 烷基基團。
  7. 如請求項4所述之方法,其中該矽烷化劑包括烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。
  8. 如請求項1所述之方法,其另外包括在將該聚合物鈍化層選擇性地形成於該金屬表面之後且在使該介電表面與該金屬催化劑接觸之前,以電漿處理該介電表面。
  9. 如請求項8所述之方法,其中該電漿包括H2 電漿。
  10. 如請求項1所述之方法,其中該金屬催化劑包括三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三(異丙氧基)鋁(TIPA)或三乙基鋁(TEA)。
  11. 如請求項1所述之方法,其中該金屬催化劑係一金屬化合物,其包括Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga。
  12. 如請求項11所述之方法,其中該金屬催化劑係一金屬鹵化物、有機金屬化合物或金屬有機化合物。
  13. 如請求項1所述之方法,其中該矽反應物包括三(三級丁氧基)矽醇(TBS)、三(異丙氧基)矽醇(TIS)或三(三級 戊氧基)矽醇(TPS)。
  14. 如請求項1所述之方法,其中形成在該金屬表面上的該聚合物鈍化層包括一自組裝單層(self-assembled monolayer, SAM)。
  15. 如請求項14所述之方法,其中該SAM係藉由使該基板暴露於一硫醇聚合物而形成。
  16. 如請求項1所述之方法,其中形成在該金屬表面上的該聚合物鈍化層包括一聚醯亞胺層。
  17. 如請求項1所述之方法,其中相對於其上已形成該聚合物鈍化層之該金屬表面氧化矽在該經催化介電表面上之沉積選擇性係大於約50%。
  18. 一種相對於一基板之一金屬表面在該基板之一介電表面上選擇性沉積一氧化矽之方法,包括: 在該金屬表面上選擇性地形成一聚合物鈍化層,及 進行一或多個氧化矽沉積循環,其包括使該基板交替且依序地與一金屬催化劑及一矽醇接觸。
  19. 如請求項18所述之方法,其另外包括在該金屬表面上選擇性地形成該聚合物鈍化層之前,使該介電表面與一矽烷化劑接觸。
  20. 如請求項19所述之方法,其中該矽烷化劑包括烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽烷基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)或N-(三甲基矽烷基)二甲基胺(TMSDMA)。
  21. 如請求項18所述之方法,其中該金屬催化劑包括三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、異丙氧化二甲基鋁(DMAI)、三(三級丁基)鋁(TTBA)、三(異丙氧基)鋁(TIPA)或三乙基鋁(TEA)。
  22. 如請求項18所述之方法,其中該金屬催化劑係一金屬化合物,其包括Zn、Mg、Mn、La、Hf、Al、Zr、Ti、Sn或Ga。
  23. 如請求項22所述之方法,其中該金屬催化劑係一金屬鹵化物、有機金屬化合物或金屬有機化合物。
  24. 如請求項18所述之方法,其中,該矽醇係三(三級戊氧基)矽醇(TPS)。
  25. 如請求項18所述之方法,其中重複該氧化矽沉積循環連續兩次或更多次。
  26. 如請求項18所述之方法,其中在至少一個氧化矽沉積循環中使該基板與該矽醇接觸兩次或更多次。
  27. 如請求項18所述之方法,其中該聚合物鈍化層包括一聚醯亞胺層。
  28. 如請求項18所述之方法,其中該聚合物鈍化層係一硫醇SAM。
TW110109306A 2020-03-30 2021-03-16 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 TW202140833A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063002136P 2020-03-30 2020-03-30
US63/002,136 2020-03-30

Publications (1)

Publication Number Publication Date
TW202140833A true TW202140833A (zh) 2021-11-01

Family

ID=77855617

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110109306A TW202140833A (zh) 2020-03-30 2021-03-16 相對於金屬表面在介電表面上之氧化矽的選擇性沉積

Country Status (4)

Country Link
US (1) US11898240B2 (zh)
KR (1) KR20210122693A (zh)
CN (1) CN113471059A (zh)
TW (1) TW202140833A (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202212605A (zh) * 2020-09-22 2022-04-01 荷蘭商Asm Ip私人控股有限公司 用於沉積含鍺硫族化合物之層的系統、裝置和方法
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US11908701B2 (en) * 2021-04-22 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
JP2024048047A (ja) * 2022-09-27 2024-04-08 東京エレクトロン株式会社 基板処理方法

Family Cites Families (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR101530502B1 (ko) 2002-11-15 2015-06-19 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US20040266185A1 (en) 2003-06-30 2004-12-30 Texas Instruments Incorporated Method for reducing integrated circuit defects
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7199029B2 (en) * 2004-10-01 2007-04-03 Sharp Laboratories Of America, Inc. Selective deposition of ZnO nanostructures on a silicon substrate using a nickel catalyst and either patterned polysilicon or silicon surface modification
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US20100297474A1 (en) 2007-11-06 2010-11-25 Hcf Partners, Lp. Atomic Layer Deposition Process
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US7830010B2 (en) * 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20130330936A1 (en) 2011-02-07 2013-12-12 Technische Universiteit Eindhoven METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9352944B2 (en) 2012-03-19 2016-05-31 Gray Manufacturing Company, Inc. Control and communication system for a wireless vehicle lift system
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
WO2014209390A1 (en) 2013-06-28 2014-12-31 Intel Corporation Selective epitaxially grown iii-v materials based devices
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA2922688C (en) 2013-09-20 2018-10-30 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9530733B2 (en) 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
MY182653A (en) 2013-12-19 2021-01-27 Intel Corp Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN106164332B (zh) 2014-03-27 2019-03-19 英特尔公司 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9449963B2 (en) 2014-07-03 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with hard mask structure formed thereon and method for forming the same
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN113936994A (zh) 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
EP3310788A4 (en) 2015-06-18 2019-02-20 INTEL Corporation INHERENT SELECTIVE PRELIMINARY TO SEPARATE TRANSITION METAL THIN FILMS OF THE SECOND OR THIRD SERIES
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
CN109844930B (zh) 2016-10-02 2024-03-08 应用材料公司 以钌衬垫改善铜电迁移的经掺杂选择性金属覆盖
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI700750B (zh) 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
TWI761636B (zh) * 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) * 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20230062397A (ko) * 2021-10-29 2023-05-09 에이에스엠 아이피 홀딩 비.브이. 선택적 열 증착 방법
TW202326852A (zh) * 2021-10-29 2023-07-01 荷蘭商Asm Ip私人控股有限公司 使用熱及電漿增強方法之選擇性沉積

Also Published As

Publication number Publication date
US20210301392A1 (en) 2021-09-30
US11898240B2 (en) 2024-02-13
KR20210122693A (ko) 2021-10-12
CN113471059A (zh) 2021-10-01

Similar Documents

Publication Publication Date Title
TW202140833A (zh) 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11525184B2 (en) Dual selective deposition
US11213853B2 (en) Selective deposition of metals, metal oxides, and dielectrics
KR100639640B1 (ko) 금속 막의 원자층 증착을 위한 방법
TW202026461A (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
JP5746034B2 (ja) 薄膜堆積のためのニオブおよびバナジウムの有機金属前駆体
TW202140832A (zh) 氧化矽在金屬表面上之選擇性沉積
JP2006522225A (ja) 窒化ハフニウム堆積の方法
US20230140812A1 (en) Selective thermal deposition method
KR20230062781A (ko) 열 및 플라즈마 강화 방법을 사용한 선택적 증착
US20210358745A1 (en) Selective passivation and selective deposition
KR20230062782A (ko) 플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착
TW202311556A (zh) 選擇性鈍化及選擇性沉積
TW202041701A (zh) 金屬氧化物在金屬表面上之選擇性沉積