KR100274603B1 - 반도체장치의제조방법및그의제조장치 - Google Patents

반도체장치의제조방법및그의제조장치 Download PDF

Info

Publication number
KR100274603B1
KR100274603B1 KR1019970050830A KR19970050830A KR100274603B1 KR 100274603 B1 KR100274603 B1 KR 100274603B1 KR 1019970050830 A KR1019970050830 A KR 1019970050830A KR 19970050830 A KR19970050830 A KR 19970050830A KR 100274603 B1 KR100274603 B1 KR 100274603B1
Authority
KR
South Korea
Prior art keywords
chamber
film
forming
metal barrier
precursor
Prior art date
Application number
KR1019970050830A
Other languages
English (en)
Other versions
KR19990030575A (ko
Inventor
강상범
이상인
Original Assignee
윤종용
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자주식회사 filed Critical 윤종용
Priority to KR1019970050830A priority Critical patent/KR100274603B1/ko
Priority to US09/163,479 priority patent/US6139700A/en
Publication of KR19990030575A publication Critical patent/KR19990030575A/ko
Application granted granted Critical
Publication of KR100274603B1 publication Critical patent/KR100274603B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 콘택홀 영역의 접촉 저항 및 스텝 카버리지를 향상시키는 반도체 장치의 제조 방법 및 그의 제조 장치에 관한 것으로, 반도체 기판 상에 형성된 층간절연막을 식각 하여 배선간의 상호연결을 위한 콘택홀을 형성하고, 상기 콘택홀을 포함하여 층간절연막 상에 옴성 접촉용 도전막을 형성하며, 상기 도전막 상부 표면을 질화 시켜서 상기 도전막에 대한 보호막을 형성한다. 이어서, 상기 보호막 상에 원자층 증착(Atomic Layer Deposition, ALD)방법을 사용하여 금속 배리어막을 형성한다. 이와 같은 반도체 장치의 제조 방법 및 그의 제조 장치에 의해서, 금속 배리어막을 원자층 증착(ALD) 방법으로 형성함으로써 500 ℃ 이하의 낮은 온도에서 우수한 스텝 카버리지를 갖고 불순물이 함유되지 않은 안정된 금속 배리어막을 형성할 수 있고, 원자층 증착(ALD) 방법을 사용하여 금속 배이어막을 형성하기 전에 보호막을 형성함으로써 불순물에 의한 상기 옴성 접촉용 도전막의 손상을 방지할 수 있다.

Description

반도체 장치의 제조 방법 및 그의 제조 장치(A Method of Fabricating Semiconductor Device and an Apparatus of Fabricating the same)
본 발명은 반도체 장치의 제조 방법 및 그의 제조 장치에 관한 것으로, 좀 더 구체적으로는 배선간의 상호연결을 위한 콘택 형성시 옴성 접촉막(ohmic contact layer)과 금속 배리어막(metal barrier layer)을 순차적으로 형성하는 반도체 장치의 제조 방법 및 그의 제조 장치에 관한 것이다.
반도체 회로가 고집적화 됨에 따라 기존의 박막 증착 공정의 개선이 요구된다. 특히, 이 분야에서 잘 알려진 콘택(contact) 및 비아(via), 트렌치(trench) 등에 사용되는 옴성 접촉용 도전막/금속 배리어막은 콘택홀(contact hole)이 크기가 작아질수록 즉, 콘택홀의 가로 세로의 비(aspect ratio)가 증가될수록 우수한 스텝 카버리지(step coverage)가 요구된다.
또한, 증착률(deposition rate)의 조절에 의한 증착 두께의 조절도 Å 단위의 오차 내에서 재현성 있게 증착할 수 있는 새로운 공정이 요구된다.
상기 옴성 접촉용 도전막/금속 배리어막으로서 통용되고 있는 Ti/TiN(또는 Ti/WN)막은 스퍼터링(sputtering) 방법으로 형성되기 때문에 상기 스텝 카버리지 문제점을 해결할 수 없고, 원자 단위의 두께 컨트롤 또한 어렵다. 그리고, 스텝 카버리지 측면에서 상기 스퍼터링보다 우수한 화학 기상 증착(Chemical Vapor Deposition, 이하 CVD) 방법으로 상기 Ti막을 형성하는 경우 플라즈마(plasma) CVD를 사용해야 하는 단점 및 CVD 방법으로 상기 TiN(WN)을 형성하는 경우 Cl(F) 불순물 함유의 문제가 발생된다.
이때, 상기 불순물 함유 문제를 해결하기 위해 Steven D. Marcus et al., "CHARACTERIZATION OF LOW PRESSURE CHEMICALLY VAPOR-DEPOSITED TUNGSTEN NITRIDE FILMS"(p. 330 ~ 333, Thin Solid Films 236, 1993)에 게재된 바와 같이 WN막 형성 온도를 650 ℃ 이상으로 증가시켜야 하고, 이때 써멀 버짓(thermal budget) 및 장비의 유지, 그리고 파티클(particle) 등의 문제점이 발생된다.
그리고, 상기 플라즈마 CVD는 써멀 CVD에 비해 스텝 카버리지 면에서 불리하다.
따라서, 소자의 고집적화를 위해서는 상기 문제점들을 극복할 수 있는 공정이 필수적으로 요구된다.
T. Suntola et al., "METHOD FOR PRODUCING COMPOUND THIN FILMS"(U. S. P 4058430, 1977)에 게재된 바와 같이 원자층 증착(Atomic Layer Deposition, 이하 ALD)는 상기 문제점들을 해결할 수 있는 신 공정으로 대두되고 있다.
상기 ALD는 CVD 와 같은 화학 반응을 사용하는 증착 방법이나, 사용되는 각각의 가스가 챔버(chamber) 내에서 혼합되지 않고 한 종류씩 펄스(pulse)로 유입된다.
예를 들어, A 가스 및 B 가스를 사용하여 C막을 형성하는 경우, 먼저 챔버에 A 가스만을 유입시켜서 반도체 기판 상에 상기 A 가스를 화학 흡착(chemisorption) 시키고, 다음 B 가스를 유입시켜서 상기 B 가스를 상기 반도체 기판 상에 화학 흡착시킴으로써 상기 원자층 C막을 형성하게 된다.
이 때문에 어떠한 몰폴로지(morphology)를 갖는 표면이라 하더라도 항상 100 %의 스텝 카버리지를 갖게 된다.
상기 원자층 증착(ALD) 방법을 사용하여 형성된 TiN 막은 M. Ritala et al., "ATOMIC LAYER EPITAXY GROWTH OF TiN THIN FILMS"(J. Electrochem. Soc., Vol. 142, No. 8, p. 2731 ~ 2737, 1995)에 게재된 바와 같이, CVD방법을 사용하여 형성된 TiN 막보다 낮은 온도인 500 ℃에서 불순물을 함유하지 않도록 형성된다는 것이 이미 검증된 바 있다.
한편, 상기 옴성 접촉용 도전막인 Ti막은 현재 기술로는 상기 원자층 증착(ALD) 방법으로 증착할 수 없다.
따라서, 원자층 증착(ALD) 방법을 사용하여 TiN 형성 이전에 원자층 증착(ALD)가 아닌 다른 방법 예를 들어, 스퍼터링 등으로 Ti막을 형성하고, 인 시츄(in-situ)로 원자층 증착(ALD) 방법을 사용하여 TiN 형성 공정을 수행하는 클러스터 툴(cluster tool)이 요구된다.
이때, 상기 Ti막 상에 바로 원자층 증착(ALD) 방법을 사용하여 TiN막을 형성하는 경우, 일반적으로 사용되는 상기 ALD-TiN(WN)막 형성을 위한 프리커서인 TiCl4(WF6)의 Cl(F)에 의해 상기 Ti막이 손상되는 문제점이 발생된다.
본 발명은 상술한 제반 문제점을 해결하기 위해 제안된 것으로서, 500 ℃ 이하의 저온에서 우수한 스텝 카버리지를 갖고 불순물이 함유되지 않은 금속 배리어막을 형성할 수 있는 반도체 장치의 제조 방법을 제공함에 그 목적이 있다.
본 발명의 다른 목적은 금속 배리어막 형성시 옴성 접촉막의 손상을 방지할 수 있는 반도체 장치의 제조 방법을 제공함에 있다.
본 발명의 또 다른 목적은 옴성 접촉막 및 금속 배리어막을 인 시츄로 형성할 수 있는 반도체 제조 장치를 제공함에 있다.
도 1a 내지 도 1c는 본 발명의 실시예에 따른 반도체 장치의 제조 방법을 순차적으로 보여주는 단면도;
도 2a 내지 도 2f는 도 1c의 원자층 증착 방법을 사용하여 형성되는 금속 배리어막 형성 공정을 순차적으로 보여주는 단면도;
도 3은 본 발명의 실시예에 따른 반도체 제조 장치의 구성을 보여주는 도면;
도 4는 콘택홀의 크기에 따른 CVD 배리어막 및 원자층 증착 방법을 사용하여 형성되는 금속 배리어막의 스텝 카버리지를 비교하여 보여주는 그래프;
도 5a 내지 도 5b는 CVD 배리어막 및 원자층 증착 방법을 사용하여 형성되는 금속 배리어막의 스텝 카버리지를 비교하여 보여주는 SEM 단면도;
도 6은 CVD 배리어막 및 원자층 증착 방법을 사용하여 형성되는 금속 배리어막의 온도 변화에 따른 F 함량을 비교하여 보여주는 그래프.
* 도면의 주요 부분에 대한 부호의 설명
10 : 반도체 기판 12 : 층간절연막
14 : 콘택홀 16 : 옴성 접촉용 도전막
18 : 보호막 20, 28 : 금속 배리어막
22, 30 : TiCl4(WF6) 24 : Ar(N2)
26 : NH3(N2H4) 27 : HCl(HF)
50 : 로드 락 챔버 51 : 옴성 접촉용 도전막 형성용 챔버
52 : 보호막 형성용 챔버 53 : ALD-금속 배리어막 형성용 챔버
54 : 트랜스퍼 챔버
(구성)
상술한 목적을 달성하기 위한 본 발명에 의하면, 반도체 장치의 제조 방법은, 반도체 기판 상에 형성된 층간절연막을 식각 하여 배선간의 상호연결을 위한 콘택홀을 형성하는 단계와; 상기 콘택홀을 포함하여 상기 층간절연막 상에 옴성 접촉용 도전막을 형성하는 단계와; 상기 도전막의 상부 표면을 질화 시켜서 상기 도전막에 대한 보호막을 형성하는 단계와; 상기 보호막 상에 원자층 증착 방법을 사용하여 금속 배리어막을 형성하는 단계를 포함한다.
이 방법의 바람직한 실시예에 있어서, 상기 도전막은, Ti막이다.
이 방법의 바람직한 실시예에 있어서, 상기 도전막은, 스퍼터링 및 PE-CVD 중 어느 하나에 의해 형성된다.
이 방법의 바람직한 실시예에 있어서, 상기 보호막은, TiN막이다.
이 방법의 바람직한 실시예에 있어서, 상기 보호막은, N2 및 NH3 중 어느 하나의 분위기에서 RTN으로 형성된다.
이 방법의 바람직한 실시예에 있어서, 상기 보호막은, N2 및 NH3 중 어느 하나의 분위기에서 플라즈마로 형성된다.
이 방법의 바람직한 실시예에 있어서, 상기 원자층 증착 방법으로 형성되는 상기 금속 배리어막의 형성 단계는, 상기 보호막 상에 제 1 프리커서를 화학 흡착시키는 단계와; 상기 보호막 상에 화학 흡착되지 않은 제 1 프리커서를 퍼지시키는 단계와; 상기 보호막 상에 제 2 프리커서를 화학 흡착시키는 단계와; 상기 보호막 상에 흡착되지 않은 제 2 프리커서를 퍼지 시키는 단계와; 상기 제 1 프리커서 및 제 2 프리커서를 리간드 교환 반응시키는 단계와; 상기 반응에 의해 생성된 부산물을 퍼지 시키는 단계를 포함한다. 이때, 상기 단계들을 하나의 사이클로 하여 반복하여 수행함으로써 원하는 두께의 금속 배리어막을 형성한다.
이 방법의 바람직한 실시예에 있어서, 상기 제 1 프리커서는, Ti 프리커서 및 W 프리커서 중 어느 하나이다.
이 방법의 바람직한 실시예에 있어서, 상기 Ti 프리커서는, TiCl4 등의 Halid계 물질, 그리고 금속 유기체 물질 중 어느 하나이다.
이 방법의 바람직한 실시예에 있어서, 상기 W 프리커서는, WF6이다.
이 방법의 바람직한 실시예에 있어서, 상기 제 2 프리커서는, N 프리커서이다.
이 방법의 바람직한 실시예에 있어서, 상기 N 프리커서는, NH3 및 N2H4 중 어느 하나이다.
이 방법의 바람직한 실시예에 있어서, 상기 퍼지 가스는, 아르곤 및 질소 중 어느 하나이다.
상술한 목적을 달성하기 위한 본 발명에 의하면, 반도체 장치의 제조 방법은, 배선간의 상호연결 형성시 옴성 접촉용 도전막과, 상기 도전막 상에 ALD 금속 배리어막을 순차적으로 형성하는 반도체 장치의 제조 방법에 있어서, 상기 ALD 금속 배리어막 형성시 사용되는 프리커서의 리간드에 의해 상기 옴성 접촉용 도전막이 손상되는 것을 방지하기 위한 보호막을 형성한다.
이 방법의 바람직한 실시예에 있어서, 상기 보호막은, 금속 질화막이다.
이 방법의 바람직한 실시예에 있어서, 상기 보호막은, 상기 옴성 접촉용 도전막의 상부 표면을 질화 시켜서 형성한다.
이 방법의 바람직한 실시예에 있어서, 상기 보호막은, TiN 막이다.
이 방법의 바람직한 실시예에 있어서, 상기 보호막은, N2 및 NH3 중 어느 하나의 분위기에서 RTN 으로 형성된다.
이 방법의 바람직한 실시예에 있어서, 상기 보호막은, N2 및 NH3 중 어느 하나의 분위기에서 플라즈마로 형성된다.
상술한 목적을 달성하기 위한 본 발명에 의하면, 반도체 제조 장치는, 배선간의 상호연결을 위한 콘택홀에 옴성 접촉용 도전막 및 금속 배리어막이 순차적으로 형성되는 반도체 제조 장치에 있어서, 공정 대상물을 공정 챔버로 로딩 시키는 로드 락 챔버와; 옴성 접촉용 도전막을 형성하기 위한 챔버와; 상기 옴성 접촉 도전막에 대한 보호막을 형성하기 위한 챔버와; 금속 배리어막을 형성하기 위한 챔버와; 인 시츄 공정을 위해 상기 공정 대상물을 각 챔버로 이동시키는 트랜스퍼 챔버를 포함한다.
이 제조 장치의 바람직한 실시예에 있어서, 상기 옴성 접촉용 도전막 형성 챔버는, Ti 스퍼터링 챔버 및 Ti 플라즈마-CVD 챔버 중 어느 하나이다.
이 제조 장치의 바람직한 실시예에 있어서, 상기 보호막 형성 챔버는, RTN 챔버 및 질화막 형성용 플라즈마 챔버 중 어느 하나이다.
이 제조 장치의 바람직한 실시예에 있어서, 상기 금속 배리어막 형성 챔버는, ALD-TiN 챔버 및 ALD-WN 챔버 중 어느 하나이다.
이 제조 장치의 바람직한 실시예에 있어서, 상기 금속 배리어막 형성 챔버는, 한 번의 공정 단계에서 다수의 웨이퍼에 대해 각각의 금속 배리어막을 형성시키는 배치형 챔버이다.
이 제조 장치의 바람직한 실시예에 있어서, 상기 금속 배리어막 형성 챔버의 온도는, 약 300 ~ 600 ℃ 범위 내로 사용된다.
이 제조 장치의 바람직한 실시예에 있어서, 상기 금속 배리어막 형성 챔버의 압력은, 약 5 mtorr ~ 10 torr 범위 내로 사용된다.
이 제조 장치의 바람직한 실시예에 있어서, 상기 반도체 제조 장치는, 디개싱 챔버와; Al-CVD 챔버와; W-CVD 챔버를 더 포함한다.
(작용)
본 발명에 의한 반도체 장치의 제조 방법 및 그의 제조 장치는 원자층 증착(ALD) 방법을 사용하여 콘택홀에 형성되는 금속 배리어막을 500 ℃ 이하의 온도에서 불순물이 없고 우수한 스텝 카버리지를 갖도록 형성하고, 금속 배리어막 형성시 옴성 접촉막의 손상을 방지한다.
(실시예)
도 1c를 참조하면, 본 발명의 실시예에 따른 신규한 반도체 장치의 제조 방법 및 그의 제조 장치는, 반도체 기판 상에 형성된 층간절연막을 식각 하여 배선간의 상호연결을 위한 콘택홀을 형성하고, 상기 콘택홀을 포함하여 층간절연막 상에 옴성 접촉용 도전막을 형성하며, 상기 도전막 상부 표면을 질화 시켜서 상기 도전막에 대한 보호막을 형성한다. 이어서, 상기 보호막 상에 원자층 증착(ALD) 방법을 금속 배리어막을 형성한다. 이와 같은 반도체 장치의 제조 방법 및 그의 제조 장치에 의해서, 상기 금속 배리어막을 원자층 증착(ALD) 방법으로 형성함으로써 500 ℃ 이하의 낮은 온도에서 우수한 스텝 카버리지를 갖고 불순물이 함유되지 않은 안정된 금속 배리어막을 형성할 수 있고, 상기 ALD 금속 배리어막 형성 전에 보호막을 형성함으로써 상기 ALD 금속 배리어막 형성시 불순물에 의한 상기 옴성 접촉용 도전막의 손상을 방지할 수 있다.
이하, 도 1 내지 도 6을 참조하여 본 발명의 실시예를 상세히 설명한다.
도 1a 내지 도 1c는 본 발명의 실시예에 따른 반도체 장치의 제조 방법을 순차적으로 보여주는 단면도이다.
도 1a를 참조하면, 본 발명의 실시예에 따른 반도체 장치의 제조 방법은 먼저, 반도체 기판(10) 상에 층간절연막(12)을 형성한다.
상기 층간절연막(12)을 식각 하여 배선간의 상호연결을 위한 콘택홀(14)을 형성한다.
그리고, 상기 콘택홀(14)을 포함하여 상기 층간절연막(12) 상에 옴성 접촉용 도전막(16)으로서, 여기서는 Ti막(16)을 형성한다.
이때, 상기 Ti막(16)은, Ti-스퍼터링 또는 Ti-플라즈마 CVD 방법 등으로 형성한다. 상기 Ti-플라즈마 CVD 방법의 경우 TiCl4와 반응 가스를 혼합하여 챔버에 유입시키고 플라즈마 반응을 통해 상기 Ti막(16)을 형성시킨다.
상기 반응 가스는, H2 및 SiH4, 그리고 Si2H6 등의 가스를 Ar 가스와 혼합하여 사용한다.
상기 두 가지 방법에 의해 형성된 Ti막(16)은 원자층 증측(ALD) 방법에 의해 형성되는 막과 같이 우수한 스텝 카버리지를 얻을 수는 없으나, TiSi 옴성 접촉막을 형성하기 위해 미량의 Ti막을 형성하면 되므로 큰 문제가 되지 않는다.
다음, 도 1b에 있어서, 상기 Ti막(16) 상에 원자층 증착(ALD) 방법을 사용하여 금속 배리어막(20) 형성하기 전에 상기 Ti막(16)의 손상을 방지하기 위해서 보호막(18)을 형성한다.
상기 보호막(18)은, 원자층 증팍(ALD) 방법을 사용하여 상기 금속 배리어막(20) 형성시 사용되는 프리커서(precursor) 예를 들어, TiN막의 경우 TiCl4의 Cl 성분 또는 WN막의 경우 WF6의 F 성분이 상기 Ti막(16)을 부식시키는 등 손상을 유발하게 되므로 이를 방지하기 위해 형성된다.
상기 보호막(18)은, 상기 Ti막(16)의 상부 표면을 질화(nitridation)시킴으로써 형성되는 금속 질화막으로서, N2 또는 NH3 등의 분위기에서 RTN(Rapid Thermal Nitridation) 시키는 방법과 N2 또는 NH3 등의 분위기에서 플라즈마 처리하는 방법 등이 있다.
마지막으로, 상기 보호막(18)인 TiN막(18) 상에 원자층 증팍(ALD) 공정으로 금속 배리어막(20)인 TiN막(WN막)(20)을 형성하면 도 1c에 도시된 바와 같이, 상호연결을 위한 옴성 접촉막/금속 배리어막이 형성된다.
도 2a 내지 도 2f는 도 1c의 원자층 증팍(ALD) 방법을 사용하는 금속 배리어막(20) 형성 공정을 순차적으로 보여주는 단면도이고, 도 3은 본 발명의 실시예에 따른 반도체 제조 장치의 구성을 보여주는 도면이다.
먼저, 도 3을 참조하면, 상기 상호연결을 위한 옴성 접촉막/금속 배리어막을 인 시츄로 형성하기 위한 클러스터 툴은 로드 락 챔버(load lock chamber)(50)와, Ti막 형성용 챔버(51)와, TiN 보호막 형성용 챔버(52)와, ALD-금속 배리어막(20) 형성용 챔버(53)와, 트랜스퍼 챔버(transfer chamber)(54)를 포함하여 구성된다.
이때, 상기 로드 락 챔버(50)에 웨이퍼 카세트(wafer cassette)가 로딩(loading)되면 원하는 레벨(level)까지 진공(vacuum)을 형성한 후 상기 Ti막 형성용 챔버(51) 및 상기 보호막 형성용 챔버(52)에서 각각 상기 Ti막(16) 및 TiN막(18)을 형성한다.
상기 Ti막 형성용 챔버(51)는, Ti-스퍼터링 챔버 및 Ti-플라즈마 증착(deposition) 챔버 중 어느 하나이다. 그리고, 상기 보호막 형성용 챔버(52)는, RTN 챔버 및 N2 또는 NH3을 사용하는 플라즈마 챔버 중 어느 하나이다.
또한, 상기 ALD-금속 배리어막 형성용 챔버(53)는, ALD-TiN 챔버 및 ALD-WN 챔버 중 어느 하나이다.
상기 트랜스퍼 챔버(54)는, 상기 웨이퍼 카세트를 각 챔버로 이동시키기 위한 챔버이다.
다시 말해, 상기 클러스터 툴의 구성은 상기 트랜스퍼 챔버(54)를 중심으로 로드 락 챔버(50)와, Ti 스퍼터링 챔버(51)와, RTN 챔버(52)와, ALD-TiN(WN) 챔버(53)를 포함하여 구성되거나, 상기 트랜스퍼 챔버(54)를 중심으로 로드 락 챔버(50)와, Ti 플라즈마 증착 챔버(51)와, RTN 챔버(52)와, ALD-TiN(WN) 챔버(53)를 포함하여 구성된다.
또한, 상기 클러스터 툴의 구성은 상기 트랜스퍼 챔버(54)를 중심으로 로드 락 챔버(50)와, Ti 스퍼터링 챔버(51)와, N2 또는 NH3 플라즈마 챔버(52)와, ALD-TiN(WN) 챔버(53)를 포함하여 구성되거나, 상기 트랜스퍼 챔버(54)를 중심으로 로드 락 챔버(50)와, Ti 플라즈마 증착 챔버(51)와, N2 또는 NH3 플라즈마 챔버(52)와, ALD-TiN(WN) 챔버(53)를 포함하여 구성된다.
도 2a를 참조하면, 상기 ALD-금속 배리어막 형성용 챔버(53)에 웨이퍼를 이동시킨 후, 챔버(53)가 약 300 ~ 600 ℃의 온도 및 5 mtorr ~ 10 torr의 압력이 되도록 조절한다.
그리고, 상기 ALD-금속 배리어막 형성용 챔버(53)에 Ti 프리커서(W 프리커서)(22)만을 유입시켜서 상기 보호막(18) 표면에 상기 Ti 프리커서(W 프리커서)(22)를 화학 흡착(chemisorption)시킨다.
이때, 상기 Ti 프리커서(22)는, TiCl4 등의 할리드계(halid) 물질 또는 Ti를 함유한 금속 유기체(metalorganic) 물질을 사용한다. 그리고, 상기 W 프리커서(22)는 WF6을 사용한다.
다음, 도 2b에 있어서, 상기 보호막(18) 표면에 화학 흡착되지 않은 잔존 Ti 프리커서(W 프리커서)(22)를 Ar 또는 N2 가스(24a)를 사용하여 퍼지(purge)시킨다.
도 2c를 참조하면, 이번에는 상기 ALD-금속 배리어막 형성용 챔버(53)에 N 프리커서(26)인 반응 가스 NH3 또는 N2H4(26)를 유입시켜서 상기 보호막(18) 상에 화학 흡착시킨다.
마찬가지로, 도 2d에 있어서, 상기 보호막(18) 상에 화학 흡착되지 않은 잔존 N 프리커서(26)를 상기 Ar 또는 N2 가스(24b)를 사용하여 퍼지 시킨다.
상기 반도체 기판(10) 상에 화학 흡착되어 있는 Ti 프리커서(W 프리커서)(22) 및 N 프리커서(26)를 리간드 교환 반응(ligand exchange reaction) 되도록 하여 도 2e에 도시된 바와 같이, 상기 반도체 기판(10) 상에 원자층인 상기 TiN(WN) 금속 배리어막(28)을 형성한다.
이때, 상기 리간드 교환 반응에 의해 형성된 부산물(byproduct)로서, 리간드(ligand) Cl(F)(22b)과 H(26b)의 결합물인 HCl(HF)(27)을 상기 Ar 또는 N2 가스(24c)를 사용하여 퍼지 시킨다.
상술한 바와 같은 단계들이 상기 TiN 금속 배리어막(WN 금속 배리어막)(28)을 형성하기 위한 하나의 사이클(cycle)이 되고, 이러한 사이클을 반복함으로써 원하는 두께의 TiN 금속 배리어막(28)을 형성하게 된다. 도 2f는 상기 일 사이클에 의해 TiN 막 형성 후 다시 TiCl4(WF6)를 유입하여 상기 TiN 막 상에 화학 흡착시키는 단계를 보여준다.
이때, 원자층 증착(ALD) 방법을 사용하는 상기 TiN(WN) 형성 공정은 증착률이 느리고, 또한 화학 흡착 메커니즘(mechanism)을 사용하여 챔버의 구조나 가스 유체 다이내믹(gas fluid dynamic)에 영향을 받지 않기 때문에 한꺼번에 많은 수의 웨이퍼에 대해 공정을 진행하는 배치형(batch type)으로 수행할 수 있다. 한편, 상기 Ti막(16) 및 TiN막(18) 형성은 단일 웨이퍼 형(single wafer type)으로 수행된다.
또한, 상기 클러스터 툴은, 후속 금속 배선 형성을 위한 Al-CVD 챔버와, W-CVD 챔버 및 디개싱 챔버(degassing chamber)를 더 포함하도록 형성할 수 있다.
도 4는 콘택홀(14)의 크기에 따른 CVD 금속 배리어막 및 원자층 증착 방법으로 형성되는 금속 배리어막의 스텝 카버리지를 비교하여 보여주는 그래프이고, 도 5a 내지 도 5b는 CVD 금속 배리어막 및 원자층 증착 방법으로 형성되는 금속 배리어막의 스텝 카버리지를 비교하여 보여주는 SEM(Scanning Electronic Microscope) 단면도이다.
도 4를 참조하면, 동일한 양의 WF6(10sccm), NH3(500sccm), 그리고 N2(100sccm) 가스를 사용하고, 기판 온도를 400℃로 설정했을 때 콘택 깊이 1.2 ㎛에 대한 가로 세로 비(aspect ratio)가 증가할수록 종래 CVD-WN의 스텝 카버리지(참조 번호 56a)와 원자층 증착 방법으로 형성되는 상기 WN(28)의 스텝 카버리지(참조 번호 56b) 모두 감소하는 경향을 보이나, 원자층 증착 방법으로 형성되는 상기 WN(28)이 스텝 카버리지(참조 번호 56b)가 상기 CVD-WN의 스텝 카버리지(참조 번호 56a)에 비해 전체적으로 우수한 특성을 보인다.
이때, 원자층 증착 방법으로 형성되는 상기 WN의 스텝 카버리지가 100 %에 도달하지 못하는 것은 공정 상의 오차로서 특히, 불완전한 퍼지 때문이다. 즉, 퍼지가 불충분한 경우 원자층 증착(ALD) 방법과 화학 기상 증착(CVD) 방법, 두 가지 메커니즘이 혼용되어 박막을 증착하게 되므로 결과적으로 스텝 카버리지를 포함한 여러 가지 특성 열화를 발생시키게 된다.
상기 CVD 방법으로 형성된 WN막은 도 5a에 도시된 바와 같이, 콘택홀 입구 에지(edge) 부분에 더 두껍게 형성되는 등 불균일한 두께로 막이 증착되는 경향을 보이는 반면, 상기 원자층 증착(ALD) 방법으로 형성된 WN막은 도 5b에 도시된 바와 같이, 콘택홀 입구를 포함하여 콘택홀 내벽 즉, 콘택홀 하부 및 양측벽에 균일한 두께로 형성되어 우수한 스텝 카버리지를 보여준다.
도 6은 CVD 금속 배리어막 및 원자층 증착 방법으로 형성되는 금속 배리어막의 형성 온도 변화에 따른 F 함량을 비교하여 보여주는 그래프이다.
도 6을 참조하면, 동일한 양의 WF6(10sccm), NH3(500sccm), 그리고 N2(100sccm) 가스를 사용하고, WN 막 형성 온도를 200 ℃에서 400 ℃로 점차 증가시킴에 따라 변화되는 상기 WN 막 내의 F 성분의 함량을 XPS로 분석한 것으로서, CVD-WN에 대한 F 성분의 함량 그래프(58a)는 300 ℃까지 증가하는 경향을 보이고, 그 이상의 온도인 400 ℃ 까지 그 함량이 거의 일정하게 유지된다.
반면, 원자층 증착 방법으로 형성되는 상기 WN에 대한 F 성분의 함량 그래프(58b)는 300 ℃까지 상기 CVD-WN과 비슷한 분포를 보이다가 상기 온도 300 ℃ 이후 급격한 감소를 보이고, 상기 온도 400 ℃에서 XPS 검출 한계(0.0) 아래로 F 함량이 줄어들게 된다.
이것은 상기 CVD-WN이 F를 함유하지 않도록 형성하기 위한 650 ℃ 이상의 고온에 비해 150 ℃ 정도 낮은 온도로서, F를 함유하지 않은 WN 막의 저온 증착 공정이 가능함을 나타낸다.
본 발명은 500 ℃ 이하의 낮은 온도에서 우수한 스텝 카버리지를 갖고 불순물이 함유되지 않은 안정된 금속 배리어막을 형성할 수 있고, 원자층 증착(ALD) 방법을 사용하여 금속 배리어막 형성시 불순물에 의한 상기 옴성 접촉용 도전막의 손상을 방지할 수 있는 효과가 있다.

Claims (21)

  1. 반도체 기판 상에 형성된 층간절연막을 식각 하여 배선간의 상호연결을 위한 콘택홀을 형성하는 단계와;
    상기 콘택홀을 포함하여 상기 층간절연막 상에 옴성 접촉(ohmic contact)용 도전막을 형성하는 단계와;
    상기 도전막의 상부 표면을 질화 시켜서 상기 도전막에 대한 보호막을 형성하는 단계와;
    상기 보호막 상에 원자층 증착 방법을 사용하여 금속 배리어막을 형성하는 단계를 포함하는 반도체 장치의 제조 방법.
  2. 제 1 항에 있어서,
    상기 도전막은, Ti막인 반도체 장치의 제조 방법.
  3. 제 1 항에 있어서,
    상기 도전막은, 스퍼터링 및 PE-CVD 중 어느 하나에 의해 형성되는 반도체 장치의 제조 방법.
  4. 제 1 항에 있어서,
    상기 보호막은, TiN막인 반도체 장치의 제조 방법.
  5. 제 1 항에 있어서,
    상기 보호막은, N2 및 NH3 중 어느 하나의 분위기에서 RTN으로 형성되는 반도체 장치의 제조 방법.
  6. 제 1 항에 있어서,
    상기 보호막은, N2 및 NH3 중 어느 하나의 분위기에서 플라즈마로 형성되는 반도체 장치의 제조 방법.
  7. 제 1 항에 있어서,
    원자층 증착 방법으로 형성되는 상기 금속 배리어막의 형성단계는,
    상기 보호막 상에 화학 흡착되지 않은 제 1 프리커서를 퍼지(purge)시키는 단계와;
    상기 보호막 상에 제 2 프리커서를 화학 흡착시키는 단계와;
    상기 보호막 상에 흡착되지 않은 제 2 프리커서를 퍼지 시키는 단계와;
    상기 제 1 프리커서 및 제 2 프리커서를 리간드 교환 반응(ligand exchange reaction)시키는 단계와;
    상기 반응에 의해 생성된 부산물(byproduct)을 퍼지 시키는 단계를 포함하고,
    상기 단계들을 하나의 사이클로 하여 반복하여 수행함으로써 원하는 두께의 금속 배리어막을 형성하는 반도체 장치의 제조 방법.
  8. 제 7 항에 있어서,
    상기 제 1 프리커서는, Ti 프리커서 및 W 프리커서 중 어느 하나인 반도체 장치의 제조 방법.
  9. 제 8 항에 있어서,
    상기 Ti 프리커서는, TiCl4 등의 Halid계 물질, 그리고 금속 유기체 물질 중 어느 하나인 반도체 장치의 제조 방법.
  10. 제 8 항에 있어서,
    상기 W 프리커서는, WF6인 반도체 장치의 제조 방법.
  11. 제 7 항에 있어서,
    상기 제 2 프리커서는, N 프리커서인 반도체 장치의 제조 방법.
  12. 제 11 항에 있어서,
    상기 N 프리커서는, NH3 및 N2H4 중 어느 하나인 반도체 장치의 제조 방법.
  13. 제 7 항에 있어서,
    상기 퍼지 가스는, 아르곤(Ar) 및 질소(N2) 중 어느 하나인 반도체 장치의 제조 방법.
  14. 배선간의 상호연결을 위한 콘택홀에 옴성 접촉용 도전막 및 금속 배리어막이 순차적으로 형성되는 반도체 제조 장치에 있어서,
    공정 대상물을 공정 챔버로 로딩 시키는 로드락 챔버와;
    옴성 접촉용 도전막을 형성하기 위한 챔버와;
    상기 옴성 접촉 도전막에 대한 보호막을 형성하기 위한 챔버와;
    금속 배리어막을 형성하기 위한 챔버와;
    인 시츄 공정을 위해 상기 공정 대상물을 각 챔버로 이동시키는 트랜스퍼 챔버를 포함하는 것을 특징으로 하는 반도체 제조 장치.
  15. 제 14 항에 있어서,
    상기 옴성 접촉용 도전막 형성 챔버는, Ti 스퍼터링 챔버 및 Ti 플라즈마-CVD 챔버 중 어느 하나인 것을 특징으로 하는 반도체 제조 장치.
  16. 제 14 항에 있어서,
    상기 보호막 형성 챔버는, RTN 챔버 및 질화막 형성용 플라즈마 챔버 중 어느 하나인 것을 특징으로 하는 반도체 제조 장치.
  17. 제 14 항에 있어서,
    상기 금속 배리어막 형성 챔버는, ALD-TiN 챔버 및 ALD-WN 챔버 중 어느 하나인 것을 특징으로 하는 반도체 제조 장치.
  18. 제 14 항에 있어서,
    상기 금속 배리어막 형성 챔버는, 한 번의 공정 단계에서 다수의 웨이퍼에 대해 각각의 금속 배리어막을 형성시키는 배치형 챔버인 것을 특징으로 하는 반도체 제조 장치.
  19. 제 14 항에 있어서,
    상기 금속 배리어막 형성 챔버의 온도는, 약 300 ~ 600 ℃ 범위 내로 사용되는 것을 특징으로 하는 반도체 제조 장치.
  20. 제 14 항에 있어서,
    상기 금속 배리어막 형성 챔버의 압력은, 약 5 mtorr ~ 10 torr 범위 내로 사용되는 것을 특징으로 하는 반도체 제조 장치.
  21. 제 14 항에 있어서,
    상기 반도체 제조 장치는, 디개싱 챔버와;
    Al-CVD 챔버와;
    W-CVD 챔버를 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 장치.
KR1019970050830A 1997-10-01 1997-10-01 반도체장치의제조방법및그의제조장치 KR100274603B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1019970050830A KR100274603B1 (ko) 1997-10-01 1997-10-01 반도체장치의제조방법및그의제조장치
US09/163,479 US6139700A (en) 1997-10-01 1998-09-30 Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019970050830A KR100274603B1 (ko) 1997-10-01 1997-10-01 반도체장치의제조방법및그의제조장치

Publications (2)

Publication Number Publication Date
KR19990030575A KR19990030575A (ko) 1999-05-06
KR100274603B1 true KR100274603B1 (ko) 2001-01-15

Family

ID=19522153

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970050830A KR100274603B1 (ko) 1997-10-01 1997-10-01 반도체장치의제조방법및그의제조장치

Country Status (2)

Country Link
US (1) US6139700A (ko)
KR (1) KR100274603B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7189641B2 (en) 2003-08-19 2007-03-13 Samsung Electronics Co., Ltd. Methods of fabricating tungsten contacts with tungsten nitride barrier layers in semiconductor devices, tungsten contacts with tungsten nitride barrier layers
US7521357B2 (en) 2004-02-24 2009-04-21 Samsung Electronics Co., Ltd. Methods of forming metal wiring in semiconductor devices using etch stop layers
KR101010513B1 (ko) * 2003-05-26 2011-01-26 주성엔지니어링(주) 반도체 제조용 인젝터

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
KR100347400B1 (ko) * 1998-11-27 2002-08-03 닛뽕덴끼 가부시끼가이샤 반도체 장치의 제조 방법
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR20010059541A (ko) * 1999-12-30 2001-07-06 박종섭 반도체 소자의 금속배선 형성방법
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
WO2002041379A1 (en) 2000-11-17 2002-05-23 Tokyo Electron Limited Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
DE10121132A1 (de) * 2001-04-30 2002-10-31 Infineon Technologies Ag Verfahren zum Erzeugen einer metallischen oder metallhaltigen Schicht unter Verwendung eines Präkursors auf einer silizium- oder germaniumhaltigen Schicht, insbesondere eines elektronischen Bauelements
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
KR100519376B1 (ko) * 2001-06-12 2005-10-07 주식회사 하이닉스반도체 반도체 소자의 확산 방지막 형성 방법
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
KR100414870B1 (ko) * 2001-06-30 2004-01-13 주식회사 하이닉스반도체 원자층 증착 방법을 이용한 캐패시터의 제조 방법
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
KR100449782B1 (ko) * 2001-07-19 2004-09-22 삼성전자주식회사 원자층 적층 방법과 이를 이용한 박막 적층 방법 및금속층 적층 방법
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
KR20030050672A (ko) * 2001-12-19 2003-06-25 주식회사 하이닉스반도체 원자층증착법을 이용한 티타늄나이트라이드막의 형성 방법및 그를 이용한 금속배선의 제조 방법
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
KR100564605B1 (ko) * 2004-01-14 2006-03-28 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
KR100446300B1 (ko) * 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6887521B2 (en) 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20040074438A1 (en) * 2002-10-22 2004-04-22 Taiwan Semiconductor Manufacturing Company Novel method to reduce resistivity of atomic layer tungsten chemical vapor depositon
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100466332B1 (ko) * 2002-12-14 2005-01-14 동부전자 주식회사 반도체 소자의 제조 방법
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
KR100511914B1 (ko) 2003-05-09 2005-09-02 주식회사 하이닉스반도체 피이사이클 시브이디법을 이용한 반도체소자의 제조방법
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
KR100688055B1 (ko) * 2004-05-10 2007-02-28 주식회사 하이닉스반도체 저온 장벽금속층을 이용한 금속배선 제조 방법
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
US20060084217A1 (en) * 2004-10-20 2006-04-20 Freescale Semiconductor, Inc. Plasma impurification of a metal gate in a semiconductor fabrication process
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
JP3984638B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路対及び伝送線路群
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
KR100642763B1 (ko) * 2005-09-06 2006-11-10 삼성전자주식회사 반도체 소자의 TiN 막 구조, 그 제조 방법, TiN 막구조를 채용하는 반도체 소자 및 그 제조방법
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US20090101494A1 (en) * 2007-10-19 2009-04-23 Mitac Precision Technology Corporation Method for Producing Internal Antenna with Anti-Electromagnetic Interference Property Through Vacuum Process
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
CN105112972A (zh) * 2015-08-27 2015-12-02 成都嘉石科技有限公司 一种电镀种子层的制作方法
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
JP6935667B2 (ja) 2016-10-07 2021-09-15 東京エレクトロン株式会社 成膜方法
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US11810766B2 (en) 2018-07-05 2023-11-07 Applied Materials, Inc. Protection of aluminum process chamber components

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5338423A (en) * 1992-11-06 1994-08-16 Zilog, Inc. Method of eliminating metal voiding in a titanium nitride/aluminum processing
KR100320364B1 (ko) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 금속배선및그의형성방법
KR0144956B1 (ko) * 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
US5780908A (en) * 1995-05-09 1998-07-14 Matsushita Electric Industrial Co., Ltd. Semiconductor apparatus with tungstein nitride
US5858184A (en) * 1995-06-07 1999-01-12 Applied Materials, Inc. Process for forming improved titanium-containing barrier layers
KR100225946B1 (ko) * 1996-06-27 1999-10-15 김영환 반도체 소자의 금속 배선 형성방법
KR0183912B1 (ko) * 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101010513B1 (ko) * 2003-05-26 2011-01-26 주성엔지니어링(주) 반도체 제조용 인젝터
US7189641B2 (en) 2003-08-19 2007-03-13 Samsung Electronics Co., Ltd. Methods of fabricating tungsten contacts with tungsten nitride barrier layers in semiconductor devices, tungsten contacts with tungsten nitride barrier layers
US7521357B2 (en) 2004-02-24 2009-04-21 Samsung Electronics Co., Ltd. Methods of forming metal wiring in semiconductor devices using etch stop layers

Also Published As

Publication number Publication date
KR19990030575A (ko) 1999-05-06
US6139700A (en) 2000-10-31

Similar Documents

Publication Publication Date Title
KR100274603B1 (ko) 반도체장치의제조방법및그의제조장치
KR100261017B1 (ko) 반도체 장치의 금속 배선층을 형성하는 방법
US6399490B1 (en) Highly conformal titanium nitride deposition process for high aspect ratio structures
US8409985B2 (en) Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9129945B2 (en) Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US6602770B2 (en) Silicon layer to improve plug filling by CVD
US7838441B2 (en) Deposition and densification process for titanium nitride barrier layers
US8865594B2 (en) Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US6284316B1 (en) Chemical vapor deposition of titanium
US20070096321A1 (en) Conformal lining layers for damascene metallization
US6225213B1 (en) Manufacturing method for contact hole
WO2001029891A1 (en) Conformal lining layers for damascene metallization
KR20020063206A (ko) 티탄실리콘나이트라이드막의 성막방법,티탄실리콘나이트라이드막으로 이루어진 확산방지막,반도체장치 및 그 제조방법, 및티탄실리콘나이트라이드막의 성막장치
US20020132469A1 (en) Method for forming metal wiring layer
KR100510473B1 (ko) 원자층 증착법을 이용한 반도체소자의 커패시터 상부 전극 형성방법
US6143362A (en) Chemical vapor deposition of titanium
US6673718B1 (en) Methods for forming aluminum metal wirings
US20040045503A1 (en) Method for treating a surface of a reaction chamber
KR0161889B1 (ko) 반도체장치의 배선 형성방법
KR100609049B1 (ko) 반도체 소자의 금속배선 형성방법
KR0183772B1 (ko) 티타늄 질화물 박막의 형성방법 및 그 방법에 따라 형성된 티타늄 질화물 박막
KR20020056293A (ko) 반도체 소자의 금속배선 형성방법
KR20000065373A (ko) 반도체 소자의 확산방지막 및 그 제조방법
KR20030050672A (ko) 원자층증착법을 이용한 티타늄나이트라이드막의 형성 방법및 그를 이용한 금속배선의 제조 방법
KR20080057086A (ko) 반도체 소자의 비트 라인 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O132 Decision on opposition [patent]
G171 Publication of correction by opposition
O074 Maintenance of registration after opposition [patent]: final registration of opposition
FPAY Annual fee payment

Payment date: 20080904

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee