TWI313059B - - Google Patents

Download PDF

Info

Publication number
TWI313059B
TWI313059B TW090130202A TW90130202A TWI313059B TW I313059 B TWI313059 B TW I313059B TW 090130202 A TW090130202 A TW 090130202A TW 90130202 A TW90130202 A TW 90130202A TW I313059 B TWI313059 B TW I313059B
Authority
TW
Taiwan
Prior art keywords
film
substrate
low
polycrystalline
flash
Prior art date
Application number
TW090130202A
Other languages
English (en)
Inventor
Yamanaka Hideo
Original Assignee
Sony Corporatio
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corporatio filed Critical Sony Corporatio
Application granted granted Critical
Publication of TWI313059B publication Critical patent/TWI313059B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B13/00Single-crystal growth by zone-melting; Refining by zone-melting
    • C30B13/16Heating of the molten zone
    • C30B13/22Heating of the molten zone by irradiation or electric discharge
    • C30B13/24Heating of the molten zone by irradiation or electric discharge using electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • H01L29/6678Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates on sapphire substrates, e.g. SOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78678Polycrystalline or microcrystalline silicon transistor with inverted-type structure, e.g. with bottom gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K2102/00Constructional details relating to the organic devices covered by this subclass
    • H10K2102/301Details of OLEDs
    • H10K2102/302Details of OLEDs of OLED structures
    • H10K2102/3023Direction of light emission
    • H10K2102/3026Top emission
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/86Arrangements for improving contrast, e.g. preventing reflection of ambient light
    • H10K50/865Arrangements for improving contrast, e.g. preventing reflection of ambient light comprising light absorbing layers, e.g. light-blocking layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/123Connection of the pixel electrodes to the thin film transistors [TFT]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/126Shielding, e.g. light-blocking means over the TFTs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/30Devices specially adapted for multicolour light emission
    • H10K59/35Devices specially adapted for multicolour light emission comprising red-green-blue [RGB] subpixels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/80Constructional details
    • H10K59/8791Arrangements for improving contrast, e.g. preventing reflection of ambient light
    • H10K59/8792Arrangements for improving contrast, e.g. preventing reflection of ambient light comprising light absorbing layers, e.g. black layers

Description

1313059 A7 B7 五、發明説明(1 ) ---- 【發明之技術領域】 本發明係有關在基體上使多晶性矽或單晶性矽等多晶性 或單晶性半導體薄膜結晶生長之方法及其裝置,在基體上 包含該多晶性或單晶性半導體薄膜之半導體裝置及光電裝 置之製造方法及其裝置,以及半導體裝置及光電裝置。 【先前技術】 先刖以夕日日矽膜形成金屬氧半導體場效電晶體(m〇sfe 丁 ;Metal-Oxide-Semiconductor Field Effect Transistor)之如 mostft(薄膜電晶體=薄膜絕緣閘型場效電晶體)之源極 、汲極及通道區域時,係採用電漿化學汽相沉積(CVD : Chemical Vapor Depositi〇n=化學性汽相生長法)及減壓 CVD法、觸媒CVD法等汽相生長法、固態生長法、液態生 長法、及準分子雷射退火法等。 以電漿CVD法、減壓CVD法等形成之非晶性或微晶矽膜 ,如特開平7-13 1030號、特開平9_116 156號、特公平7-11 8443 號所揭不,僅藉由高溫退火或準分子雷射退火(ELA : Excimer Laser Anneal)處理,希望以多晶矽膜化改善載體移 動率,然而該方法效果有限,僅能達到約8〇〜12〇 em2/v · s e C的載體移動率。 但是,使用以電漿CVD法之非晶係性矽膜之EL A獲得之 多晶矽膜之MOSTFT的電子移動率則約為1〇〇cm2/v · sec, 亦可對應於高精細化,因此,最近使用驅動電路一體型多 晶矽 MOSTFT之液晶顯示器(LCD : Uquid Crystal Display =液晶顯示裝置)深受矚目(參照特開平6_242433號)❶準分 本紙張尺度適财g g家標準(CNS) M規格(21QX撕公爱) 1313059 五、發明説明(2 子雷射退火法係在試劑上照射氯化氙準分子雷射等短波長 短脈衝電射,於短時間内熔融晶化的方法,不過仍期待 月b以雷射光照射非晶矽膜而不損及玻璃基板之多晶化、高 生產量者。 【發明所欲解決之問題】 、但是,上述ELA之多晶石夕M〇STFT的製法由於晶化速度高 達n sec所獲得之結晶粒徑充其量僅約1 〇〇 nm。因此,縱 使於短波長、短脈衝雷射照射時,將基板溫度加熱至約 徹底除去阻礙結晶生長的氫及氧等,來控制凝固速度 的方法,仍難獲得粒徑在5GG nm以上的結晶。因此,係照 射數次以上’如照射5次、3〇次以上雷射,足量提供使結晶 生長的能量來實施大粒徑多晶石夕膜化。但是’仍存在種種 =準分子雷射輸出之穩定性' 生產性、因大型化而裝置價 格提高、良率/品質降低等問題,尤其形成lmx im的大型 3 =時’上述問題擴大’更難達到性能/品質提高與成 12 最近’如特開平U_97353號等揭示有以450〜600t,4 小時的加熱處理’使促進晶化之觸媒元素(錄、鐵、 在非晶質矽膜内擴散,以形成結晶性矽膜的方法。但是, 如 方法存在形成有觸媒元素的結晶性㈣,因而雖 特開平8-33996〇號等所揭示,為求除去(除氣)該觸媒元 性 強 採用在含有氣等齒素的環境下加熱處理的方法; '社曰、 矽獏上選擇性添加磷後加熱處理的方法;及以 :: 素 光照射含有觸媒元素之結晶性矽獏, ^〆 仕令易擴散觸媒元 I______ _5_ 本紙張尺;t s目家料(CNS) Α雜(21Q χ 297公爱了 1313059 A7 I~- ____ B7 五、發明説明(3 ) 的狀態下,以選擇性添加的元素吸收觸媒元素的方法等, 不過步驟複雜,錯氣效果不佳,損害妙膜的半導體特性 ’有損所製作之元件的穩定性與可靠性。 此外,採用以固態生長法製造多晶矽M〇STFT的方法, 在600°C以上溫度下實施十多小時的退火時,由於須以約 1000。。的熱氧化形成閘二氧化矽,因此必須採用半導體製 ,裝置。以致基板尺寸限定在晶圓尺寸8〜12吋1並須採= 南财熱性且昂貴的合成石英玻璃,很難降低成本,用途僅 限定在EVF及資料/AV投影機上。 最近,開發出在玻璃基板等絕緣性基板上,以低溫製作 多晶矽膜、氮化矽膜等所獲得之優異熱CVD的觸媒法 (參照特公昭63-403 M號、特公平8_25〇43 8號),並正實 施實用化檢討。觸媒CVD法雖不以晶化退火即可獲得約 30 cm2/V. sec的載體移動率,但於製作良好之m〇&ft裝 置時仍顯不^。㈣’在玻璃基板上形成多晶碎膜時,部 分成膜條件下容易形成有初期之非結晶矽的轉移率(厚度 5〜H)細),而在底閘型刪TFT時,即難獲得所需的載體移 動率。通常使用驅動電路-體型之多晶石羯⑽打了的㈣ ’底閘型MOSTFT在良率及生產性方面雖容易製造,但是 這個問題造成瓿頸。 本發明之㈣,在提供-種以高晶化率可以容易、低成 本且大面積形成高品質之多晶碎等多晶性或單晶性半導體 薄膜之方法,及實施該方法之裝置。 本發明之其他目的,在提供—種構成部分包含此種多晶 -6-
1313059 五、發明說明(4 性或單晶性半導體薄膜之M〇STFT等半導體裝置及光電裝 製造方法’實施該方法之裝置,以及半導體裝置及光 電裝置。 【課題之解決手段】 亦即,本發明係一種半導體薄膜之形成方法及半導體裝 置之製造方法, 其係於基體上形成多晶性或單晶性半導體薄膜,或製造 在基體上包含多晶性或單晶性半導體薄膜之半導體裝置時 ,包含: 第步-驟’其係在i述基體上形成低級結晶性半導體薄 膜;及 ,第二步驟’其係在上述低級結晶性半導體薄膜上實施閃 光燈退火,藉由在熔融或半熔融或非炫融狀態下加熱與冷 卻,以促進上述低級結晶性半導體薄膜的晶化。 —此外,本發明提供一種多晶半導體薄膜或單晶性半導體 薄膜之形成裝置’及半導體裝置之製造裝置,其實施本發 明之方法的裝置包含: 第—機構,其係在上述基體上形成低級結晶性半導體薄 膜;及 第二機構,其係在上述低級結晶性半導體薄膜上實施閃 光燈退火,藉由在熔融或半熔融或非熔融狀態下加熱與冷 卻’以促進上述低級結晶性半導體薄膜的晶化。 此外,本發明係提供一種光電裝置,其係分別在各色用 之有機或無機電致發光層的下層,包含與包含上述多晶性 -7 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 訂 1313059 五、發明説明(5 或早晶性半導體薄膜之娜TFT之汲極或源極連接的险極 或陽極,包含上述M0STFU二極體之主動元件上亦被上 述陰極覆蓋,或上述各色用有機或無機電致發光層之各層 上及各層間全面覆蓋有共通的上述陰極或陽極。 曰 〇〇此外’本發明也提供一種光電裝置,纟係場致發射顯示 益(FED)之射極,經由上述多晶性或單晶性半導體薄膜,連 接於包含+上述多晶性或單晶性半導體薄膜之M 〇 s τ f τ的汲 極,同時藉由生長在上述多晶性或單晶性半導體薄膜上之η 型多晶性半導體膜或多晶性鑽石膜或含氣或不含氮之碳薄 膜,或形成在含氮或不含氮之碳薄膜纟面之許多微細突起 構造(如碳毫微管)等所形成。 採用本發明,由於係在基體上形成低級結晶性半導體薄 膜,在該低級結晶性半導體薄膜上實施閃光燈退火,藉由 在熔融或半熔融或非熔融狀態下加熱與冷卻,促進上述低 級結晶性半導體薄膜之晶化’以形成多晶性或單晶性半導 體薄膜,因此可獲得以下⑴〜(1〇)項所示的顯著作用效果。 (1) 藉由在任意之pSec〜msec的短時間内,實施一次或反 覆數次之閃光燈照射之閃光燈退火,將高度照射能賦予低 級結晶性石夕等低級結晶性半導體薄膜,將其加熱成炼融或 半熔融狀態,或加熱成非熔融狀態、冷卻’可獲得大粒徑 之高載體移動率、高品質之多晶性矽膜等多晶性或單晶性 半導體薄膜,可大幅提高生產性及大幅降低成本。 (2) 由於閃光燈退火藉由組合任意數量的燈及其閃光式 放電機構,如①在整個1000 mm χ 1〇〇〇 mm的大面積上實施 -8 本紙狀度適财® ®家標準(CNS) A4规格(210X297公爱) A7 B7 1313059 五、發明説明(6 ) 一次或反覆必要次數之閃光燈照射,②以電流計掃描器掃 描聚光整形成200 mm X 200 mm正方形的閃光燈照射光,依 需要以重疊掃描實施閃光燈照射,③固定聚光整形成200 mm X 20 0 mm正方形的閃光燈照射位置,使基板以步進及 反覆方式移動,依需要重疊掃描實施閃光燈照射,使基板 或閃光燈照射光以任意方向與速度移動,控制加熱熔融及 冷卻速度,可在極短的時間内將任意大面積之低級結晶性 矽膜等予以多晶化或單晶化,因此達到極高的生產性,大 幅降低成本。 (3) 藉由將閃光燈照射光聚光整形成任意線狀、長方形或 正方形或圓形實施照射,因照射強度,亦即熔融效率及生 產量提高與晶化均勻性提高,可減少載體移動率的不平 均。 (4) 在以閃光燈退火而晶化之多晶性矽等膜上堆疊低級 結晶性矽等膜,再度藉由反覆實施以該閃光燈退火而晶化 的方法,可以μιη單位之厚度堆疊形成大粒徑之高載體移動 率、高品質的多晶性矽膜等。藉此,除MOSLSI之外,亦可 形成高性能、高品質之雙極LSI、CMOS感測器、CCD面性/ 線性感測器、太陽電池等。 (5) 因應低級結晶性半導體薄膜之膜厚、玻璃等基板之耐 熱溫度、及所需之結晶粒徑(載體移動率)等,閃光燈退火 之波長調整(封入氣體之變更、放電條件之變更、採用熱線 降低濾色器或熱線遮蔽濾色器等)、照射強度、照射時間等 控制容易,因此,可以高生產性獲得高載體移動率、高品 -9 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 1313059 A7 B7 五、發明説明(7 ) ~~- 質之多晶性石夕膜等。 严由於氣燈、氣水銀燈、氪燈、氪水銀燈、氣氪燈、氣 氣水銀燈、金屬齒化燈等閃光燈退火燈為可耐反覆發光的 ’且遠比氯化氤、氣化氪等準分子雷射退火裝置的準分子 雷射振盪器廉價,且伟β 且使用可命長,保養簡單,因此可大幅 降低成本。 (7)主要由閃光燈與放電電路所構成之閃光燈退火裝置 與準分子雷射退火裝置比較,構造簡單,因此價格低,可 降低成本。
,()由於氯化氤、敦化氪等$分子雷射退火處理係使用要 求nsec的脈衝振盪型雷射,因此其輸出穩定性有問題,且 發現有照射面之能量分布不平均'獲得之晶化半導體膜不 =均、各TFT之元件特性不平均。以,係採用賦予 脈度,並實轭5次、3 0次等多次準分子雷射脈衝照射的方法 不過仍因照射不平均而造成晶化半導體膜及TFT元件特 性不平均,因生產置降低造成生產性降低,以致成本提高 。反之,閃光燈退火係如上述(2)項可以要求以“〜阳“的 脈衝王面閃光照射1 000 mm X 1 〇〇〇 mm的大面積,因此照射 面之把1分布不平均' 獲得之晶化半導體膜不平均、各丁FT 之元件特性不平均現象少,可因高生產量之高生產性而降 低成本。 (9)含銅粉末、鐵粉末等熱線吸收材料之濾色器玻璃(熱線 吸收濾色器)或塗敷IT0膜等紅外線反射膜之冷反射鏡/冷 慮色器或組合兩者之;慮色器(在熱線吸收遽色器上塗敷紅 __- 10- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1313059 A7 -"-------Β: 五、發明説明(8 ) 外線反射膜者等)等至少播用+ @ — 使用遮敝或降低紅外線之熱線遮 ^處色器或熱線降低遽色器之強紫特光之閃光燈退火可 在低溫(〜柳。㈠下適用,因此可使用廉價,且大型化容 :之紹石夕酸玻璃、财酸玻璃等低畸變點玻璃及聚酿亞胺 4耐熱性樹脂,以促使輕量化與降低成本。 (10)除表面閉型之外,為求底面_、雙㈣型及背面 閘型m〇STFT亦可獲得高載體移動率之多晶性半導體膜或 早晶料導體膜等,可製造使用該高性能之半導體膜之快 ,、尚電流密度的半導體裝置、光電裝置甚至高效率的太 陽電池等。例如,可製造矽半導體裝置、矽半導體積體電 路裝置 '場致發射顯W(FED)裝置H半導體裝置、 石夕-鍺半導體積體電路裝置、碳切半導體裝置、碳化石夕 半導體積體電路裝置、ΠΙ—乂及〗〗—VI族化合物半導體裝置 、III—V及II—VI族化合物半導體積體電路裝置、多晶性或 單aa 鑽石半導體裝置、多晶性或單晶性鑽石半導體積體 電路裝置' 液晶顯示裝置、電致發光(有機/無機)顯示裝置 、發光聚合物顯示裝置、發光二極體顯示裝置、光感測器 裝置、CCD面性/線性感測器裝置、CM〇s感測器裝置、太 陽電池裝置等。 另外,本發明中,上述所謂之低級結晶性半導體薄膜, 如後述的定義,主要為包含非晶質(am〇rph〇us)之構造、包 含微結晶(粒度通常在10nm以下)之構造、亦含微結晶之以 非晶質為基本之構造、亦含非晶質之以微結晶為基本之構 造、以及亦含非晶質及微結晶之以多結晶為基本之構造, -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公发) 1313059 A7 B7 五、發明説明(9 ) 上述多晶性半導體膜主要為以除去此種非晶質成分之大粒 徑(粒度通常在數1 〇〇 nm以上)之多結晶為基本,亦含微結 晶的構造。此外,上述單晶性半導體膜除指單結晶矽等單 結晶半導體之外,亦含單晶化合物半導體(如單結晶鎵砷) 及單結晶矽一鎵,所謂單晶性,定義為亦包含次晶界及含 轉移之單結晶的概念。此外,上述多晶性鑽石膜為幾乎不 含非晶質(amorphous)鑽石,而含微結晶鑽石及多結晶鑽石 的結晶性鑽石膜。 【發明之實施形態】 本發明之上述低級結晶性半導體薄膜以觸媒CVD及電漿 CVD等使其汽相生長即可,其中使用之原料氣體如:氫化 矽或其衍生物、氫化矽或其衍生物與含氫、氮、鍺、碳或 錫之氣體的混合物、氫化矽或其衍生物與含包含週期表第 III族或第V族元素之雜質之氣體的混合物、氫化矽或其衍 生物與含氫、氮、鍺、碳或錫之氣體與包含週期表第III族 或第V族元素之雜質之氣體的混合物等。 藉由使用如上述的原料氣體,可形成包含非晶質矽膜、 含微結晶矽之非晶質矽膜、微結晶矽(含非晶質矽微結晶矽) 膜、含非晶質矽及微結晶矽多晶矽膜、非晶質鍺膜、含微 結晶鍺非晶質鍺膜、微結晶鍺(含非晶質鍺微結晶鍺)膜、 含非晶質鍺及微結晶鍺多結晶鍺膜、以SixGeNx (0 < x< 1) 表示之非晶質矽鍺膜、非晶質碳膜、含微結晶碳非晶質碳 膜、微結晶碳(含非晶質碳微結晶碳)膜、含非晶質碳及微 結晶碳多結晶碳膜、以SixC^ (0< x< 1)表示之非晶質矽碳 -12 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1313059 A7 B7 五、發明説明(1〇 ) 膜、或以GaxAs^x (〇< χ< 1)表示之非晶質鎵膜等的上述低 級結晶性半導體薄膜。該低級結晶性半導體薄膜以非晶質 為基本,或包含微結晶時,有構成結晶生長之晶種粒徑在 10 nm以下之微結晶散佈即可。 繼續,於該低級結晶性半導體薄膜生長時或生長後,使 其適量(合計如1017〜1022 atoms/cc,更宜為10丨8〜1〇2〇 at〇ms/cc) 含有錫、鍺、鉛等IV族元素之至少一種,在此狀態下,實 施上述閃光燈退火,該低級結晶性半導體薄膜予以晶化時 ,於促進晶化的同時容易獲得減少存在於多結晶性半導體 薄膜之晶界(Grain Boundary)之不平均,減少其膜應力之高 載體移動率、高品質的多結晶性半導體薄膜。該ιν族元素 構成氣體成分混合在原料氣體中,或藉由植入離子或摻雜 離子,可使其含在低級結晶性半導體薄膜中。此外,亦可 於減壓CVD等之微結晶石夕膜上,以i χ 1〇,5at〇ms/cm2的劑 量植^石夕或錯離子,予以非晶質石夕化後,實施閃光燈退火 ,以形成大粒徑多晶性或單晶性石夕薄膜。 另外,本發明之大粒徑多晶性或單晶性半導體膜中之氧 、虱、妷艰度分別在1 X 10”at〇ms/cc以下,更宜在5 X 1〇丨8 atoms/cc以下,氫濃度宜在〇 〇1原子%以上。此外,納在 最低濃度區域,宜在i x 1〇uat〇ms/cc以下。 上述係間光燈退火’使上述低級結晶性石夕等低級結晶 性半導體薄膜變質成大粒徑之多晶性石夕等多晶性半導體薄 摸:::之外,亦可於上述基體之特定元件形成預定區域 内形成特疋形狀及尺寸之有階差的凹部,在包含該凹部之 13- 裝 訂 本纸狀度適财S S家標準(CNS) A4^i^I^97公釐) 1313059
上述基體上,形成含有或不含錫等1¥族元素之至少—種的 上述低級結晶性矽薄膜後,以上述閃光燈退火,使上述階 差的底邊角部在晶種上圖形外延生長時,可使上述低級結 晶性矽薄膜變質成單晶性矽薄膜。 或是,在上述基體之特定元件形成預定區域内形成單結 晶石夕與晶格整合良好之結晶性藍寶石等物質層,在該物質 層上形成含有或不含錫等…族元素之至少一種的上述低級 結晶性矽薄膜後,以上述閃光燈退火,使上述物質層在晶 種上異質外延生長時,可使上述低級結晶性矽薄膜變質成 單晶性矽薄膜。亦可將上述圖形外延生長或上述異質外延 生長所形成之單晶性矽薄膜表面等予以化學機械研磨 (CMP , Chemical Mechanical Polishing)或選擇性蝕刻等, 形成島狀化之特定膜厚及面積的單晶性矽薄膜,依需要藉 由高溫熱氧化、低溫高壓退火、CVD等形成閘極絕緣膜或 保濩膜,製作如單晶半導體(石夕)玻璃(s c s 〇 G)基板等單晶半 導體(矽)基板(SCS0S基板)。其中,SCS0S : Sing丨e Crystal
Semiconductor (Silicon) on Substrate、SCSOG : Single
Crystal Semiconductor (Silicon) on Glass。 亦可藉由反覆實施該閃光燈退火與形成低級結晶性半導 體薄膜,堆疊膜,形成μηι單位之多晶性或單晶性半導體厚 膜。亦即’在第一次閃光燈退火中形成大粒徑之多晶性或 單晶性半導體薄膜’在其上堆疊形成低級結晶性半導體薄 膜’繼續將該底層之大粒徑多晶性或單晶性半導體薄膜, 藉由實施第二次同樣的閃光燈退火,在晶種上堆疊形成大 -14, 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 1313059 A7 I_______ B7 五、發明説明1 ~一 :--— 粒徑多晶性或單晶性半導體膜,如此反覆必要次數,可堆 豐形成μηι單位之膜厚之大粒徑多晶性或單晶性半導體膜 ,。於此種堆疊時,係將底層膜之大粒徑多晶性或單晶性半 導體膜作為晶種逐次堆疊形成,因此,愈接近膜表面,愈 I堆疊形成高晶化率、高純度之大粒徑多晶性或單晶性半 ^體膜it匕時,在各退火後之晶化膜表面須避免形成低級 氧化膜及附著雜質(Contaminant) » 為求防止形成低級氧化膜及雜質,提高生產性,宜採用 將低級結晶性半導體薄膜形成步驟或機構(電漿CVD、觸媒 CVD、濺射等)與閃光燈退火步驟或退火器予以一體化的裝 置,错由如線上(連續處理室)方式(線型 '旋轉型)、多處理 室方式、簇團方式等連續或依序實施。 其中更宜採用如下(1)或(2)項中的簇團方式: U)反覆實施在CVD部形成低級結晶性半導體薄 退火器部的閃光燈退火予以晶化,將其送回cvd部,在其 上形成低級結晶性半導體薄膜,再度以退火器部之閃光燈 退火予以晶化步驟的簇團方式一體化裝置。 (2)連續在CVD — 1部形成底層保護膜(氧化矽/氮化矽疊 層膜等)’在CVD-2部形成低級結晶性半導體薄膜後,依 需要在離子摻入/離子植入部添加^族元素後,以退火器部 ^閃光燈退火予以晶化,再度於⑽―3部形成開極絕緣膜 (氧化矽膜等)之作業的簇團方式一體化裝置。 此蚪於再度只知閃光燈退火之前,如對上述多晶性半 導體薄膜,使氫或含氫氣體之電漿放電或觸媒反應所產生 -15- 本紙張尺度適用中S g家標準(CNS) Α4規格(2_1QX297公爱) 1313059 五、發明説明(13 ) 之氫系活性種等作用(亦即藉由電聚或觸媒原子氫退火 (AHA ; Atomic Hydrogen Anneal)處理),實施上述多晶性半 導體薄膜的表面潔淨及/或除去氧化覆膜,之後,宜在 低級結晶性半導體薄膜形成後實施上述的問光燈退火。此 :(或其他情況下)尤宜在減壓氫中或含減壓氫之氣體中或 真空中實施閃光燈退火。 亦即’具體而言’宜形成以下⑴或⑺項的條件: ⑴以㈣形成膜之前,不流入原料氣體,藉由僅 載氣之電㈣觸媒AHA處理,料第—次Μ燈退火所步 成之多晶性矽膜表面的雜質(低級氧化膜、水分 =氣體等),潔淨界面,㈣殘留的非晶㈣,形成高晶 化率之夕晶❹麻,將該底層作為晶種,於潔淨之界面 士堆疊之低級結晶性石夕薄膜藉由繼續實施閃光燈退火 疊形成良好結晶之大粒徑多晶性或單晶性半導體薄膜。 (2)為:止氧化及氮化,係在減壓氯或㈣氫系 二7施閃光燈退火。該環境係氯或氣與惰性氣體 (風乱氪、风、氖、氡)的混合氣體,氣壓在i 33 ^以 上,未達大氣壓,更宜為13313卜4><1041^。真空度在133
Pa以上’未達大氣壓’更宜為133Pa〜133xi〇4 ·θ ,低級結晶性半導體,¾胺本& L > 疋 千导體㈣表面上有絕緣性保護 或氮化矽膜、氧氮化矽膜戋氧 匕夕膜 化…亀夕==疊層膜或氧 在空氣中、大氣壓氮氣中。 亦了 由於在減壓氫或含減壓氫氣體中實施閃光燈退火時,構 -16- 本紙張尺度it财賴家辟 A7 B7 1313059 五、發明説明 成:境軋體之比熱大,熱冷卻效果大的氣體分子在薄膜面 上棱擊、而脫離時會吸收薄膜的熱,因此局部性形成溫度 低的。卩刀,藉此在該部分產生結晶核,以促進結晶生長。 此時之環境氣體若為氫氣或氫與惰性氣體(Ιι、氖、氬等) 的混合氣體時,該氣壓宜在1.33 Pa以上,未達大氣壓,更 宜為133 Pa〜4 X 1〇4 Pa,此因可藉由比熱高的氫分子等運動 以破貫獲得上述的作用效果。 此外,閃光燈退火時,可藉由電阻加熱器、紅外線燈等 將基板加熱至其畸變點以下的溫度。聚醯亞胺等耐熱性樹 脂基板及硼矽酸玻璃、鋁矽酸玻璃等低畸變點玻璃基板的 加熱溫度宜為200〜5〇〇。〇,更宜為3〇〇〜4〇(rc,石英玻璃' 晶化玻璃等耐熱性基板的加熱溫度宜為2〇〇〜8〇(rc,更宜為 300〜600〇C。 閃光燈退火方法可採用①整個大面積至少照射一次閃光 的全面閃光照射’②閃光照射同一區域,·同時至少掃描一 次掃描照射,③或對閃光照射光相對性步進輸送及/或反覆 輸送’至少照射一次閃光照射的步進及/或反覆照射。具體 而言如下。另外’依需要亦可實施重疊掃描,對相同區域 實施一次或反覆必要次數的閃光照射。 Φ全面閃光照射 如對整個1000 X 1000 mm的大面積基板實施一次或反覆 必要次數的閃光照射。 ②電流計掃描閃光照射 固定基板,以電流計掃描器掃描聚光整形成200 X 200 mm -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
裝 訂
1313059 A7 ____ B7 五、發明説明(15 ) 正方形的閃光燈照射光,對相同區域實施一次或反覆必要 次數的閃光照射。 ③步進及反覆'閃光照射 如固定聚光整形成200 X 200 mm正方形的閃光照射光位 置’使基板高精密作X — Y移動,對相同區域實施一次或反 覆必要次數的閃光照射。 適用的閃光燈為可以反覆閃光方式發光之如氙燈、氣水 銀燈、氙氪燈、氪燈、氪水銀燈 '氙氪水銀燈、金屬鹵化 燈等。 閃光燈之照射光的波長宜控制在至少顯示紫外線波長區 域的發光光譜(依需要,通過含鋼粉末、鐵粉末 '鱗酸等熱 線吸收材料之濾色器玻璃(熱線吸收濾色器)或塗敷IT〇膜 等紅外線反射膜之冷反射鏡/冷濾色器或使兩者重疊之濾 色器(如在熱線吸收濾色器上塗敷紅外線反射膜者)等之至 少遮蔽或降低紅外線之熱線遮蔽濾色器或熱線降低濾色器 ,以防止基板溫度上昇即可)。此外,可使用閃光燈退火時 ,可適切調整流入閃光燈之放電電流之峰值及時間寬與閃 光燈反覆發光速度之包含閃光式放電機構與紫外線等光源 燈的發光裝置。 例如,使用圖8所不之氙閃光燈之發光光譜中 燈時,使電容器的充電電壓提高來放電時,放電時= 電流波形峰值上昇,結果,波長為4〇〇 nmw下之紫外線波 長區域的光譜強度相對增加。此外,電容器之充電電壓L 定柃’如降低電感’則減少】/3脈寬’放電電流波形峰值增 -18· 本紙泵尺度適用中國國家標準(CNS) A4规格(21〇χ297/Λ$---------- 1313059 A7 I----_ B7 五、發明説明(16 ) 加’結果’波長為4〇〇 nm以下的紫外線波長區域的光譜強 度相對增加。 為促進大粒徑(高載體移動率)多晶化與上述圖形外延或 /、貝外延生長時的單晶化’宜於石夕溶融後逐漸冷卻,因此 須適切控制閃光燈退火時之閃光時間(脈寬)及峰值、以及 燈反覆發光速度及頻率,尤其於1/3脈寬長時,宜在1毫秒 以上,更宜為1.5毫秒以上。另外,1/3脈寬宜依低級結晶性 半導體薄膜之製法、膜厚、被照射面積及形狀等而適切改 變。 使用於本發明之閃光燈光源裝置宜至少由以下(I )〜(4)項 中之一構成: (1) 在容納燈之接地電位框體内設有反射構件,依需要在 上述反射構件的表面形成微細凹凸。具體而言,亦可在經 循5衣冷媒(純水等)冷卻之接地電位之金屬製外圍器的内部 女裝有反射構件(鋁板等)’在該反射構件的表面設置微細 的凹凸形狀(玻璃加工、蝕刻等),以促使亂反射之反射光 的亮度均勻化。 (2) 將燈及反射構件收納於遮光性框體内,依需要通過熱 線吸收性或熱線遮蔽性之透明構件,導引閃光照射光。具 體而言,係將閃光燈及反射構件等收納在遮光的金屬製外 圍器内,依需要,經由通過含銅粉末、鐵粉末、墻酸等熱 線吸收材料之濾色器玻璃(熱綠吸收濾色器)或塗敷IT〇膜 等紅外線反射膜之冷反射鏡/冷濾色器或使兩者重疊之濾 色器(如在熱線吸收濾色器上塗敷紅外線反射膜之濾色器) -19- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公;^~~------- 1313059 A7 B7 五、發明説明(17 等之至少遮蔽或降低紅外線之熱線遮蔽濾色器或熱線降低 渡色器,向特定方向有效投光。 (3 )將燈及反射構件收納於框體内’反射聚光之閃光照射 光及向前方照射之閃光照射光通過聚光反射鏡或光整形器 導引。具體而言,以帶狀閃光照射時,在數個閃光燈後方 配置經循環冷媒(純水等)冷卻之凹狀聚光反射構件,再以 聚光透鏡將反射聚光之閃光照射光及前方閃光照射光予以 聚焦’形成亮度提高的帶狀閃光照射光。此外,以正方形 或長方A之閃光照射光照射整個大面積時,係在數個閃光 i後方配置經被環冷媒(純水等)冷卻之反射構件,以光整 形器(光均勻器等)將反射之閃光照射光及前方閃光照射光 加以整形,以提高亮度均勻性。此時’亦可依需要經由上 述熱線降低濾色器或熱線遮蔽濾色器向特定方向投光。而 該光整形器(光均勻器等)上亦可塗敷熱線反射膜。 (4)反射構件及框體須經純水等循環冷媒冷卻。 亦可在上述0光燈退火中使用之燈的外壁設置觸發電極 (觸發方式)。此時’亦可將閃光燈形成平行平板形發光管 ’在該發光管内配置-對或數對相對電極,且在上述相對 電極間,於上述發光管外壁上設置至少僅—對上述相對電 極的上述觸發電極薄膜圖案或觸發電極組裝體。 此外,亦可在直管型發光管内配置有數對相對電極 述相對電極間’於上述發光管外壁上設有觸發電極组 或觸發電極薄膜圖案。 上 裝 體 由於封入燈 閃光燈之亮燈方法與一般的白熱燈管不同 -20-
1313059 五、發明説明(
A7 B7
内之如氙氣為電性絕緣體,因此係由產生特別高壓之電路 的觸發電壓預先在燈内壁破壞絕緣,以構成電流通道(雪崩 電子流)。預先以直流電流充電,而儲存之主放電用電容器 内的電荷沿著該通道放電’點亮閃光燈。閃光燈的亮燈模 式有兩種,分別為:反覆亮燈時’為便於亮燈,係隨時济 入微小的預備電流’形成電性導體,以便實施主放電的方 式(縫紉機方式),舆無預備電流,於每次亮燈時施加高電 壓’破壞氣體絕緣而亮燈的方式(觸發方式),任何方式均 包含可一次或反覆閃光發光的閃光式放電機構(直流電源 、電荷儲存用電容器、放電時之電流波形控制用的線圈、 閃光燈等)。 雖然任何方式均 先前之閃光燈的構造,係在如石英玻璃製之直徑l〇 , 長度150 mm之直管型發光管的兩端附近相對配置有一對 電極,在發光管外壁設置有觸發電極組裝體,不過,本發 明除上述構造之外’亦可採用如在長15〇><寬1〇〇)<高1〇111爪 之平行平板型發光管的兩端附近相對配置有一對或數對電 極’在發光管外壁設置有觸發電極薄膜圖案或觸發電極组 裝體的構造。Μ’亦彳藉由在石#玻璃製發光管壁面 (内側或外側或兩側)實施微細凹凸加工(喷砂、蝕刻等),以 促使閃光照射光的亮度均W。再者,平行平板型發光管 mu成數個陰極及陽極與觸發金屬線或觸發電極圖 案的間隔為相同距離。 由於此種平行平板型(立方體)發光管係採,如在長150, -21 - 1313059 五、發明説明(19 ) A7 B7 寬100X高10 mm之平行平板型發光管的兩端附近相對配置 有一對或數對電極,在發光管外壁設置有觸發電極組裝體 或觸發電極薄膜圖案的構造,因此可擴大閃光照射面積及 照射光的亮度均勻化。此外,為石英玻璃製之平行平板型 發光官及直管型發光管時,藉由在發光管壁面(内側或外側 或兩側)實施微細凹凸加工(噴砂、蝕刻等),以提高閃光照 射光的壳度均勻化。另外,燈管形狀亦可採用、螺旋 型(螺旋蚊香型)、螺旋型+同心圓型等。 在閥外壁設置透明導電性覆膜,再於其上設置有彈性之 螺旋狀的金屬線,該金屬線的一端保留,另一端以導電性 塗料固定在該覆膜上的閃光燈(參照實用新案第2555672號) 内,也在上述閥或立方體外壁實施微細凹凸加工時,可改 善閃光照射光的亮度均句性及透明導電性覆膜的密合性, 亦可改善有彈性之螺旋狀金屬線的密合性,因此可穩定發 光且延長使用壽命。 雖然長150X寬100X高10 mm之平行平板型發光管的照 ^積相當於10支長150 mmx直徑10 mm的直管型發光管, 是整體而言可以較低的耗電實施閃光照射,因此效率高 在價格上也因更換頻率低而可達到成本降低。 此時’藉由在平行平板型發光管之投光面的背面,將 ^導電性覆膜或金屬覆膜予以圖案化,並聯設置觸發電; 線’以降低數對電極間的放電不平垧,阳比 延長使用壽命。 Η因此可穩定發光- 在發光管後方設置冷卻之反射構件時,由於使用中不】 ___ -22- 本紙張尺度it Wg]國家鮮(CNS) A4規格(21QX297公⑷
f 1313〇59
,成高溫’因此可避免反射構件的功能老化,燈管 -化’且不致因釋放剩餘氣體造成外圍器内部的環境心 ’因此可穩定發光且延長使用壽命。 心、 料,亦可使用數個在上述閃光燈退火中使用的燈, 此等燈予以平面性並列,同時將數個相互串聯,連接於 個電源,或是在各燈上設置電源,或將全部的燈予以串聯 ’亚連接於共通的電源’同步觸發數個燈,使其同時 光。 此外’亦可將問光燈收納在真空容器内,經由吸震材料 ’將反射構件安裝在上述真空容器内。 此外,亦可在上述低級結晶性半導體薄膜上,以適切膜 厚形成氧化矽膜或氮化矽膜或氧氮化矽膜或氧化矽膜/氮 化石夕膜4層㈣氧化石夕/氮化石夕/氧化石夕疊層膜等的絕緣性 保護膜’纟此種狀態下’實施上述閃光燈退火。例如,亦 可對形成在上述基體上之上述低級結晶性半導體薄膜,或 覆蓋保護用絕緣膜,以上述低級結晶性半導體薄膜之閃光 照射實施上述閃光燈退火時,自上面或自下面或同時自上 面與下面實施上述閃光照射(但是,除自上面照射時,基體 須透明(亦可透過4〇〇 nm以下波長的光線。 此上述低級結晶性半導體薄骐或覆蓋上述保護用絕緣 膜之上述低級結晶性半導體薄膜,可為島狀化成所需面積 及形狀者,可在大氣壓氮氣中或空氣中實施上述閃光照射 ,可在減壓氫氣中或含減壓氫之氣體中或真空中實施上述 間光照射(此等在其他閃光照射條件下亦同)。 1313059 A7 B7 一 五、發明説明(21 為求降低基板溫度上昇、降低膜應力、防止因含有氣體 (氫等)之瞬間膨脹造成膜的裂痕、因逐漸冷卻造成大粒徑 化等’亦可於上述之覆蓋絕緣性保護膜之低級結晶性半導 體薄膜在圖案化並島狀化成所需面積及形狀的狀態下,實 施上述閃光燈退火。 此外,亦可在磁場及/或電場的作用下實施上述閃光燈退 火。 閃光燈退火時’預先將基體加熱至其畸變點以下的溫度 ,更宜為300〜500。(:時,可促使退火時低級結晶性半導體薄 膜的脫氫化,結晶性均勻化,降低膜及基板應力,提高照 射旎效率,及提高生產性等。另外,亦可於閃光燈退火之 前實施低級結晶性半導體薄膜之脫氫化的加熱(如42〇〜 45〇°C ’ 30分鐘)處理。 藉由閃光燈退火所獲得之上述多晶性或單晶性半導體薄 膜,可形成MOSTFT之通道、源極及汲極區域或二極體、 配線、電阻、電容或電子釋放體等。此時,於形成上述通 迢、源極及汲極區域、二極體、電阻、電容、配線或電子 釋放體等後,對上述區域實施該閃光燈退火時,可實施再 晶化與膜中之η型或p型雜質的活化。此外,將上述區域予 以圖案化(島狀化)成所需的面積及形狀後,實施閃光燈退 火4 可防止因溫度上昇造成基板損壞(裂痕、斷裂等), 且可防止因溫度急遽上昇造成膜的碎裂。 本發明適於形成矽半導體裝置、矽半導體積體電路裝置 石夕一鍺半導體裝置、梦—鍺半導體積體電路裝置、ΙΗ — -24-
1313059 A7 B7 五、發明説明(22 ) V及II — VI族化合物半導體裝置、III — V及II — VI族化合物 半導體積體電路裝置、碳化矽半導體裝置、碳化矽半導體 積體電路裝置、多晶性或單晶性鑽石半導體裝置、多晶性 或單晶性鑽石半導體積體電路裝置、液晶顯示裝置、有機 或無機電致發光(EL)顯示裝置、場致發射顯示器(FED)裝置 、發光聚合物顯示裝置、發光二極體顯示裝置、CCD面性/ 線性感測器裝置、CMOS或MOS感測器裝置、太陽電池裝 置等的薄膜。 例如,以該薄膜形成表面閘型或底面閘型或雙閘極型或 背面閘型MOSTFT,並以該MOSTFT形成外圍驅動電路 '影 像信號處理電路、記憶體電路等一體型之光電顯示裝置, 如液晶顯示裝置、有機EL顯示裝置、FED顯示裝置等。 此時,於製造包含内部電路及外圍電路之半導體裝置、 光電顯示裝置、固體攝影裝置等時,可藉由上述多晶性或 單晶性半導體薄膜,形成構成至少上述一種電路之 MOSTFT之通道、源極及汲極區域,此外亦可構成外圍驅 動電路、影像信號處理電路、記憶體電路等的一體型。 此外,亦可分別在各色用之有機或無機電致發光層(EL 層)的下層形成包含與上述MOSTFT之汲極或源極連接之陰 極或陽極的EL元件構造。 此時,上述MOSTFT及二極體等主動元件上亦覆蓋上述 陰極時,陽極在上部的構造,其發光面積增加,同時可藉 由陰極的遮光作用,防止發光光線射入上述主動元件而產 生漏電流。此外,在整個上述各色用之有機或無機EL層之 -25 - 本紙張尺度適用中國國家標準(CNS) A4规格(210 X 297公釐) 1313059
各層上及各層間覆蓋上述陰極或陽極時,藉由全 或陽極覆蓋,防止不耐渴氣之有@ 。卩破陰極 “轧疋有機EL層老化及電極 可延長使用壽命、達到高品質及高可靠性,此外,由^ ,極覆盍時的散熱效果高,因此可降低因發熱造成有機紅 缚膜的構造改變(炫解或再晶化),延長使用壽命 ::及高可靠性’且藉此可以良好的生產性形成高精度问 鬲品質的彩色有機EL層,因此可降低成本。 此外’在上述各色用之上述有機或無機EL層之間形成鉻 、二氧化鉻等黑掩膜料’可防止各色間或像素間的漏光 ’對比提高。 將本發明應用在場致發射顯#器(FED)裝置上日夺,可經由 上述多晶性或單晶性半導體薄膜將其發射器(電場釋放吟 極)連接於上述MOSTFT的汲極,同時可藉由生長在上述多 晶性或單晶性半導體薄膜上之n型多晶性半導體膜 性鑽石膜二或含氮或不含氮之碳薄膜,或形成在含氮或; 含虱之碳薄膜表面之許多微細突起構造(如碳毫微管)等形 成。 此時,經由絕緣膜,在上述M0STFT、二極體等主動元 件上形成接地電位之金屬遮紅·膜(此時,以上述F E D之閘極 引出電極之同一材料,以同一步驟形成時,包含步驟簡化 專優點,可防止氣密容器内之氣體藉由發射器釋放的電 子形成正離子化’在絕緣層上充電,該正電荷在絕緣層下 之主動元件上形成不需要的反轉層,多餘的電流自該反轉 層流出’而產生發射器電流逸出。此外,亦可防止螢光體 -26- 本紙張尺度適财S S家標準(CNS) A视格(21GX297公爱)
1313059 A7 B7 五、發明説明(24 ) 因發射器釋放之電子的撞擊而發光時,因該光線在 MOSTFT之閘極通道内產生電子、空穴,而產生漏電流。 本發明亦提供一種半導體薄膜之形成方法及半導體裝置 之製造方法,其包含: 、 第一步驟,其係在基體上形成含有或不含錫等Iv族元素 之至少一種的低級結晶性半導體薄膜; 第二步驟,其係執行將上述基體加熱至其畸變點以下溫 度的預備加熱處理(Pre-baking); 第二步驟,其係藉由將上述基體加熱至其畸變點以下溫 度之輔助加熱狀態(Asist-baking)下的閃光燈退火,以熔融 或半熔融或非熔融狀態之加熱與冷卻,以促進上述低級結 晶性半導體薄膜的晶化;及 第四步冑,其係將上述晶化之半導體薄膜予以後加熱 保持(Post-baking),至少冷卻至上述基體之畸變點以下溫 度。 .皿 此時,上述低級結晶性半導體薄臈及閃光燈退火定義如 上’而基於以下的理由須實施上述的各種熱處理(該定義及 其重要性,於後述之各方法中亦同 <預備加熱處理(Pre-baking) > 低級結晶性半導體薄膜上吸附氣體(氧、氣、碳酸氣 、水分、甚至含有成膜時之氣體(電聚CVD成膜時之氫氣等 的狀態下實施閃光燈退火時’因膜及基體溫度急遽上昇而 造成應力缺失’如因氫氣膨脹***造成膜剝落、膜裂痕 基體損壞(玻璃晶化等)的缺失,為求加以防止,而_ -27- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 1313059 A7 B7 五、發明説明(25 備加熱處理以除去上述缺失因素。 二預:加熱處理宜以電阻加熱器、南素燈等加熱機構 ^ :、常溫以上,基體之畸變點以下溫度,如3〇〇t〜5〇〇 C,處理時間依低級結晶性半㈣_成膜料(汽相 、濺射 '蒸鍍等)之膜厚及膜質、基體的材質與尺寸等,予 以最佳化,如宜在5〜20分鐘之間β 另外,雖亦可先以其他加熱裝置實施錢⑽中之非曰 質石夕薄膜中之脫氫化處理溫度(約42Gt)的加熱處理等,: 過基於上述理由,當然需要在閃光燈退火裳置内實施上述 預備加熱處理。 〈輔助加熱狀態(Asist_baking) > 及 如以1.5 msec之超短時間之閃光照射,膜溫度急遽上昇 ’使低級結晶性半導體薄膜溶融時,因基體溫度與溶融矽 之溫度差造成應力損壞’如產生膜剝落、膜裂痕、基體裂 痕、基體碎裂等問題,為求降低該溫度差,以減少應力損 壞,於閃光照射時’宜先將基體保持在特定的溫度f ' 上述輔助加熱狀態宜加熱至常溫以上,基體之=變點以 下溫度’如3峨〜5啊,依閃光燈退火條件、低級結晶性 半導體薄膜成膜條件(汽相生長、濺射、蒸鍍等 膜質、基體的材質與尺寸等,予以最佳化。 、 〈後加熱保持狀態(Post-baking) > 火 融 以輔助加熱狀態之超短時間,如h5 msec之閃光燈退 ’在上述低級結晶性半導體㈣之炫融或半③融或非溶 狀態下加熱與冷卻’以促進上述低級結晶性半導體薄膜的 本紙張尺度適用中® @家料(CNS) Μ規格(加x297公羡) A7 B7 1313059 五、發明説明(26 ) -- 晶化,而此時逐漸冷卻時,可期待因結晶粒徑大型化、減 少膜應力而提高結晶性及TFT特性。 反之,急速冷卻時,則因基體溫度與熔融矽之溫度差造 成應力損壞,如產生膜剝落、膜裂痕、基體裂痕、基體碎 裂等問題’為求降低急遽的溫度差,以減少應力損壞,於 閃光照射時,宜先將基體及晶化之半導體薄膜至少保持 1〜10分鐘之冷卻至預餚加熱溫度或輔助加熱溫度的時間。 因此’亦可實施將加熱裝i予以共用化,使帛備加熱溫 度與輔助加熱溫度及後加熱保持溫度為相同溫度,如設定 成電漿CVD中之非晶質矽薄膜中之脫氫化處理溫度(約42〇 C ),於上述特定時間之預備加熱後實施閃光燈退火,再於 特定時間的加熱保持後’如於1〜10分鐘後,取出基體的一 連串作業。 再者’上述基體包括低畸變點玻璃基板(硼矽酸玻璃、鋁 石夕酸玻璃 '強化玻璃等)、高畸變點玻璃基板(合成石英玻 璃、熔融石英玻璃、晶化玻璃等)、耐熱性樹脂基板(聚醯 亞胺等)、陶瓷基板、塗敷絕緣性膜之金屬基板或陶瓷基板 、塗敷絕緣性膜之矽或化合物半導體基板等,不過,依需 要亦可選擇使用。 本製造方法宜反覆實施上述第一步驟與上述第二步驟與 上述第三步驟與上述第四步驟。 此外’上述基體適切之上述預備加熱處理(pre_baking)、 上述輔助加熱狀態(Asist-baking)及上述後加熱保持 (Post-baking)的閃光燈退火照射時間(1/3脈寬)宜在〇 1 MSec -29- 本紙乐尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐) A7 B7
1313059 五、發明説明(27 以上,更宜為0.5〜3 msec。 亦即,晶化之閃光燈退火宜依據基體之耐熱性與所需之 電子/空穴移動率(含結晶粒徑)等設定閃光照射條件。為石 英玻璃及晶化玻璃等耐熱性玻璃時,宜儘量設定較長之照 射時間,如設定為1.5-3 msec時,熔融矽被逐漸冷卻,可 獲得結晶粒徑大,電子/空穴移動率高之如結晶性石夕薄膜 等。 ' 反之,為硼矽酸玻璃 '鋁矽酸玻璃、強化玻璃等低崎變 點玻璃及聚酿亞胺等耐熱性樹脂時,為兼顧防止基體損壞 及達到所需之電子/空穴移動率(含結晶粒捏),照射能量 (照射時間)須設定在〇.5〜1 ·5 msec。此外,離子活化之閃光 燈退火’由於在矽之非熔融狀態(如700〜l〇0(pc)的加熱時 間良好,因此宜儘量設定較長的照射時間(如3 msec以上)。 本發明亦提供以下(a)〜⑴項的方法及裝置。 (a)—種光電裝置的製造方法,其係分別在像素顯示部之 主動元件及被動元件區域,與外圍電路部之主動元件及被 動元件區域,將含有或不含至少一種錫等以族元素之低級 結晶性半導體薄膜之被照射面積及形狀予以同等化、圖案 化後,實施將上述基體予以適切預備加熱處理(pre_baking') 、輔助加熱狀態(Asist_baking)及後加熱保持(p〇st-baking) 的閃光燈退火,依需要再將各個晶化區域予以圖案化成特 定面積及尺寸。 本方法,於閃光燈敎時之低級結晶性半導體薄膜的晶 化程度與其膜厚及被照射面積成正比。亦即,其膜厚愈厚 -30- t s a tmBTs) A4^(2i〇xli^j--
裝 玎
1313059 五、 發明説明(28 ) :或其面積愈大,閃光照射光能的吸收也愈大,因 曰a化。 因此’為求促使LCD、有機EL(電致發光)等顯示用面板 =化程度均勾化,須使膜厚相同,㈣藉由—般光刻 ^刻,使像素顯示部及外圍電路部内之相關低級結晶性 t導體薄膜的被照射面積及形狀予以同等化。例如,將像 =顯不部及外圍電路部内之TFT區域形成相等面積,使外 電路内之各個二極體、電阻等區域全部形成相等面積。 =該閃光燈退火後,將各個晶化區域予以圖案化成任意 丁、二極體及電阻的面積及形狀。 ㈨-種光電裝置的製造方法,其係在外圍電路部之各個 主動兀件及被動元件區域,而非像素顯示部之主動元件及 破動几件區域,將含有或不含至少一種錫物族元素之低 級結晶性半導體薄膜之被照射面積及形狀予以放大、圖案 化後,實施將上述基體予以適切預備加熱處理⑽―; 、輔助加減態(Asist_baking)及後加熱保持“也 ㈣光燈退火,依需要再將各個晶化區域予以圖案化成特 疋面積及尺寸。 為投影機用的LCD面板時,為因應因強射入光的漏光造 成像素顯示用TFT漏電流,有時像素顯示部之電壓驅動型 TFT宜以低移動率之非晶質石夕膜或為結晶石夕獏,外圍電路 部之電流驅動型TFT宜由高移動率之多晶性石夕 矽膜構成。 因此’宜錯由以-般光刻及蝕刻將外圍電路部之各個 -31 - >紙振又度適财SS家料(CNS〉A4規格(2Κ)Χ297公董f 1313059 A7 B7 五、發明説明(31 ) " -- 斤萬膜厚及面積之單晶性石夕薄膜之如scs〇g的基板 —另外此等(C)、(d)方法均可於該CMP或選擇性蝕刻後, 藉由高溫熱氧化、低溫高壓退火、CVD等形成閘極絕緣膜 或保護膜,以製作大面積積體(m〇slsi ; 以…
Integration)、BiCMOS LSI、雙極 LSI 等。 此蚪為防止基體之雜質污染(Na離子等),有時雖需要 f正個基體上形成適切膜厚的氮化性絕緣膜(氮化矽膜、氧 ^化㈣等不過’此時為求提高基體與氮化性絕緣琪的 Φ 5丨生須在基體與氮化性絕緣膜之間***適切膜厚的氧 化性絕緣獏(氧化矽膜等)。 再者,該基體包括低畸變點玻璃基板(硼矽酸玻璃、鋁矽 酉欠玻璃、強化玻璃等)、高畸變點玻璃基板(合成石英玻璃 :熔融石英玻璃、晶化玻璃等)、耐熱性樹脂基板(聚醯亞 胺等)、金屬基板(鐵、銅、鋁 '不銹鋼等之合金等)、陶瓷 基板、高熔點金屬(鈦、鈕、鉬、鎢、此等合金,如鉬—钽 合金等)或/及塗敷金屬矽化物(WSi2,M〇si2,Tis^,丁以卜 ,CoS!,Pd2Si ’ Pt2Si,CrSi2 ’ Nisi,RhSi 等)膜之金屬基 板或低畸變點玻璃基板或耐熱性樹脂基板或陶瓷基板、矽 基板、化合物半導體基板等。 (e)—種單晶性半導體薄膜或單晶性半導體裝置的製造 方法’其係在上述(c)、(d)項所製成之前述sCS〇g基板等 S C S Ο S基板之前述單晶性半導體薄膜内植入離子或摻雜離 子,形成η型或/及p型雜質區域(如源極/汲極、源極/閘極通 道/汲極等),至少使用降低紅外線或紅外線遮蔽濾色器, -34- 本紙張尺歧财® S家標準(CNS) Α4規格(210X297公爱
1313059
错由將剷述基板予以適切預備加熱處理(pre_baking)、輔助 加熱狀嘘(Asist-baking)及後加熱保持(post-baking)的閃光 燈退火,以促使雜質離子活化。 (0—種單晶性半導體薄膜或單晶性半導體裝置的製造方 法’其係在結晶性半導體(Si,SiGe,SiC,GaAs等)基板、 石夕絕緣體(SOI; Silicon On Insulator)基板等之單晶半導發 薄膜内植入離子或摻雜離子,形成η型或/及p型雜質區域 (如源極/汲極、源極/閘極通道/汲極等),至少使用降低紅 外線或紅外線遮蔽濾色器,藉由將前述基板予以適切預備 加熱處理(Pre-baking)、輔助加熱狀態(Asist_baking)及後 加熱保持(Post-baking)的閃光燈退火,以促使雜質離子活 化。 今後矽MOS LSI之0.07 μηι節點在M0S電晶體之源極、汲 極的接合深度將變淺至10〜15 nm。但是,由於使用目前使 用中之鎢一鹵素燈的退火(快速熱退火(RTA ; Rapid Thermal Anneal))照射時間較長,需要數秒鐘,因此n型或/ 及P型雜質很難熱擴散以形成淺接合,受到技術性的限制, 接合深度為20 nm。 但是’本發明之閃光燈退火’如氤閃光燈的照射時間, 以1〜5 msec之數微秒之非熔融狀態加熱即可活化離子,可 打破其限制,可實現深度在20 nm以下的極淺接合。 但是,由於該氙閃光燈的照射光為紅外線區域之 800〜1000 nm波長,包含強峰值的發光光譜,因該區域之差 異大的強光吸收而在矽層的加熱溫度上產生不平均,η型或 -35- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公爱)_ 1313059 五、發明説明(33 ) /及P型雜質植入雜質的離子活化及熱擴散程度也容易產生 不平均’較難進一步形成均勻且重現性良好的淺接合。因 此如以上所述’前述基板予以適切預備加熱處理 (Pre baking)、輔助加熱狀態(Asjst_baking)及後加熱保持 (Post-baking)之非熔融狀態加熱的閃光燈退火時,至少使 用降低紅外線或紅外線遮蔽濾色器,以降低或遮蔽 8 0 0〜10 0 〇 n m波長之強發光峰值區域,以穩定之閃光發光區 域之紫外線或紫外線及可視光線等的照射,實施控制矽層 的加熱,可實現控制n型或/及p型之植入雜質熱擴散程度的 離子活化,形成超極淺接合。此時之預備加熱處理 (Pre-baking)、輔助加熱狀態(Asist_baking)及後加熱保持 (Post-baking)條件雖可依基板的材質等任意選擇,不過宜 在 300〜500°C。 另外,SOI基板的製法包括:31河〇}(法(在單晶矽基板上 植入氧離子,於達到1300〜H0(rc的熔點,製作退火s〇i基 板的方法)、晶圓貼合法(以貼合熱氧化之單晶矽基板的— 面研磨來製作SOI基板的方法)、SMART CUT法(在經熱氧 化之單晶矽基板的一面植入氫離子,經貼合熱氧化後剝離 ,僅保留植入氫離子的單晶矽層,來製作s〇I基板的方法) 、ELTRAN法(在多孔質矽基板上外延矽生長及熱氧化,使 與支撐基板貼合熱氧化,實施噴水分離,藉由選擇蝕刻及 氫退火等製作SOI基板的方法)等各種方法,當然以上各種 方法均可使用於本發明。 (g)—種多晶性或單晶性半導體薄膜或多晶性或單晶性 -36- 本纸張尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐) 1313059 A7 B7 五、發明説明(34 ) 半導體裝置的製造方法,其係在藉由基體上之雷射{近紫外 線(uv)及/或遠紫外線(DUV)雷射(如準分子雷射、非線形光 學效果之光高次諧波所調製之近紫外線(uv)及/或遠紫外 線(DUV)雷射等)、可視光線雷射、近紅外線及/或遠紅外線 雷射等}退火而晶化之多晶性或單晶性半導體薄膜上,植入 離子或摻雜離子,形成η型或/及p型雜質區域(如源極/汲極 、=極/閘極通道/汲極等),至少使用降低紅外線或紅外線 遮蔽濾色器,藉由前述基體予以適切預備加熱處理 (Pre-baking)、輔助加熱狀態(Asist_baking)及後加熱保持 (Post-baking)的閃光燈退火,以促使雜質離子活化。 (h) —種多晶性半導體薄膜或多晶性半導體裝置的製造 方法,其係在藉由基體上之固態生長而晶化之多晶性半導 體薄膜上,植入離子或摻雜離子,形成n型或/及口型雜質區 域(如源極/汲極、源極/閘極通道/汲極等),至少使用降低 紅外線或紅外線遮蔽濾色器,藉由前述基體予以適切預備 加熱處理(Pre-baking)、輔助加熱狀態(Asist_baking)及後 加熱保持(Post-baking)的閃光燈退火,以促使雜質離子活 化。 (1) 一種多晶性或單晶性半導體薄膜或多晶性或單晶性半 導體裝置的製造方法,其係在藉由基體上之聚光燈退火而 晶化之多晶性或單晶性半導體薄膜上,植入離子或摻雜離 子,形成η型或/及p型雜質區域(如源極/汲極、源極/閘極通 道Λ及極等)’至少使用降低紅外線或紅外線遮蔽濾色器, 藉由則述基體予以適切預備加熱處理(Pre_baking)、辅助加 1313059 A7 ________B7 五、發明説明(35 ) 熱狀fe、(Asist-baking)及後加熱保持(p〇st_baking)的閃光燈 退火,以促使雜質離子活化。 (j) 一種半導體薄膜或半導體裝置的製造方法,其包含: 第一步驟,其係在基體上形成含有或不含至少一種錫等 IV族元素之低級結晶性半導體薄膜; 第二步驟’其係在前述低級結晶性半導體薄膜上植入離 子或摻雜離子’形成n型或/及P型雜質區域(如源極/汲極、 源極/閘極通道/汲極等); 第二步驟’其係在前述基體上實施加熱至其畸變點以下 溫度的預備加熱處理(pre_baking); 第四步驟’其係藉由在將前述基體加熱至其畸變點以下 溫度之輔助加熱狀態(Asist-baking)的閃光燈退火,以熔融 或半溶融或非炫融狀態之加熱與冷卻,同時實施前述低級 結晶性半導體薄膜之晶化及雜質離子的活化;及 第五步驟’其係實施後加熱保持(p〇st_baking),使前述 基體冷卻至其畸變點以下的溫度。 (k) 一種半導體薄膜或半導體裝置的製造方法,其包含: 第步驟’其係在基體上形成含有或不含至少一種錫等 IV族元素之低級結晶性半導體薄膜; 第二步驟,其係實施預備加熱處理(Pre-baking),將前述 基體加熱至其畸變點以下的溫度; 第三步驟’其係藉由在將前述基體加熱至其畸變點以下 溫度之輔助加熱狀態(Asist_baking)的閃光燈退火,以熔融 或半炫融或非熔融狀態之加熱與冷卻將前述低級結晶性半 -38- &張尺度適財關轉^^胁(2鮮ϋ) 1313059
導體薄膜予以晶化; 第四步驟,其係實施後加熱保持(Post-baking),使前述 基體冷卻至其畸變點以下的溫度: 第五步驟,其係在所形成之多晶性或單晶性半導體薄膜 上植入離子或摻雜離子,形成n型或/&p型雜質區域(如源 極/汲極、源極/閘極通道/汲極等);及 第六步驟’其係至少使用降低紅外線或紅外線遮蔽濾色 器,藉由前述基體予以適切預備加熱處理(Pre_baking)、辅 助加熱狀態(Asist-baking)及後加熱保持(Post_baking)的閃 光燈退火,以促使雜質離子活化。 (1)—種半導體薄膜或半導體裝置的製造方法,其係在基 體上形成對以高於基體之導熱性及導電性透過遮光性且^ 低光反射及保護用絕緣膜與低級結晶性半導體薄獏的閃光 照射光’顯示高吸收性或高反射性的底層膜,在其上依需 要以電絕緣性形成透光性或遮光性的緩衝膜,並在其上之 至少底層膜區域内形成含有或不含至少一種錫等族元素 之低級結晶性半導體薄膜,再依需要在其上形成降低光反 射及保護用絕緣膜’藉由前述基體予以適切預備加熱處理 (Pre-baking)、辅助加熱狀態(Asist-baking)及後加熱保持 (Post-baking)之閃光燈退火之熔融或半熔融或非炫融狀·够 之加熱與冷卻,以促進前述低級結晶性半導體薄膜的曰 化0 為底面閘極TFT、背面閘極TFT及雙閘極tft等時,吸收 透過降低光反射及保護用絕緣膜與低級結晶性半導體薄膜 -39- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 1313059 A7 _^___B7 五、發明説明(37 ) 之閃光照射光而加熱之高導熱性及導電性之如著色系金屬 (鉻、銅等)、高熔點金屬(鈦、鈕、鉬、鎢、此等合金,如 翻一鈕合金等)、金屬矽化物(WSi2,MoSi2,TiSi2,TaSi2 ,CoSi ’ Pd2Si,Pt2Si , CrSi2,NiSi ’ RhSi 等),作為底層 膜使用。此時,由於基體溫度上昇較高,因此,石英玻璃 、晶化玻璃等高畸變點(耐熱性)玻璃及陶瓷適於作為基體 的材料。 此外’反射透過降低光反射及保護用絕緣膜與低級結晶 性半導體薄膜之閃光照射光之高導熱性及導電性之如白色 系金屬{鋁、鋁合金(含1 〇/〇矽之鋁等)、銀、鎳、鉑等}、白 色系金屬/高熔點金屬疊層膜(鋁/鉬等)等作為底層膜使用 。此時’由於基體的溫度上昇較低,因此硼矽酸玻璃、銘 石夕酸玻璃、強化玻璃等低畸變點玻璃及聚醯亞胺等耐熱性 樹脂等適於作為基體的材料,不過亦可使用石英玻璃、晶 化玻璃等高畸變點(耐熱性)玻璃及陶瓷等。 此外’雖然為防止因閃光燈退火造成底層膜與低級結晶 性半導體薄膜反應而設置緩衝膜,不過若是以與熔融之低 級結晶性半導體薄膜不產生反應的材質形成底層膜時,亦 可省略緩衝膜。如由陽極氧化之絕緣膜覆蓋的鋁、高炫點 金屬(翻一组合金等)等的底層膜,則不需要形成新的緩衝 膜。 緩衝模可使用電絕緣性之氧化矽膜、氧氮化矽膜、氮化 矽膜、氧化矽/氮化矽疊層膜、氮化矽/氧化矽疊層瞑、氧化 石夕/氮化矽/氧化矽疊層膜等。 ___ -40-
本紙張尺度適用巾g g &標準(CNS) μ規格(灿X撕公爱) 1313059
在基體上使用硼矽酸玻璃、鋁矽酸玻璃等低畸變點玻璃 、炼融石英玻璃、晶化玻璃或是耐熱性樹脂等時,為防止 基體上之雜質(鈉離子等)擴散,宜使用氮化矽膜系,如氧 氮化矽膜、氮化矽膜、氧化矽/氮化矽疊層膜、氮化矽/氧化 矽疊層膜、氧化矽/氮化矽/氧化矽疊層膜等。 閃光照射時之底層膜上的低級結晶性矽薄膜,藉由該膜 本身吸收照射光而加熱與底層膜加熱、蓄熱效果實施熔融 ,熔融後之矽流出,不容易在底層膜上形成多晶性或單晶 性石夕薄膜。目此’藉*僅在底㈣區域上形成低級結晶性 半導體薄帛’即可防止溶融石夕流出,而僅在底層膜區域上 形成多晶性或單晶性石夕薄膜。 此外,前述底層膜可以與含有或不含至少一種錫等…族 兀> 素之前述低級結晶性半導體薄膜相等以上的面積,圖案 化成一部分線狀突出的形狀,使經前述閃光燈退火而熔融 或半熔融或非熔融狀態之前述低級結晶性半導體薄膜自前 述底層膜之前述突出形狀部分散熱以形成結晶生長核,在 任意的結晶方位使其全面晶化。 此時,由於線狀突出部分的散熱比其他部分大,構成再 晶化的機制(種、核),因此可全面形成任意結晶方位之大 粒徑多晶性或單晶性半導體薄膜。 此外’可以與前述底層膜相等以下的面積,在前述底層 膜之突出形狀區域内將含有或不含至少一種錫等ιν族元素 之低級結晶性半導體薄膜予以圖案化成微小突出的形狀, 將經前述閃光燈退火而熔融或半熔融或非熔融狀態之前述 -41 -
本紙張尺度適用中國國冢標竿(CNS) A4規格 1313059
低級結晶性半導體的微小突出形狀部 任意的結晶方位使其全面晶化。 作為結晶生長核 在 .此時’由於微小突出部分的散熱比其他部分 晶化的機制(種、核),因此亦可全面形成任意結晶方籌 大粒徑多晶性或單晶性半導體薄膜。 此外’刚述底層膜可經由線狀突出部分使用任 (無電位、接地電位、TFT之閘極電位等)。 絕緣膜 極絕緣 此外,前$閃光燈退火時4 $低光反射及保護用 至少為透過紫外線之電絕緣性臈,或是可使用閘 膜。 至少透過紫外線之電絕緣性膜包括:氧化%膜、氮化石夕 膜、氧氮化石夕膜、氧化石夕膜/氮化石夕膜疊層膜、氮化石夕/氧化 石夕疊層膜、氧化石夕/氮化石夕/氧化石夕疊層膜等,此外,問極絕 緣膜包括:氧化石夕膜、氮化石夕膜、氧氮化石夕μ、氧化石夕膜/ 虱化矽膜疊層膜、氮化矽/氧化矽疊層膜、氧化矽/氮化矽/ 氧化矽疊層膜等。 (m)—種半導體薄膜或半導體裝置的製造方法,其係藉由 在氧化f生環i兄中(空氣、^氣、臭氧、水蒸氣、一氧化碳、 一氧化碳等)之閃光燈退火之熔融或半熔融加熱與冷卻,使 含有或不含至少—種錫等族元素之低級結晶性半導體薄 膜晶化時,在該多晶性或單晶性半導體薄膜的表面同時形 成乳化系絕緣膜(氧化矽膜、氧氮化矽膜等),將該氧化系 緣膜作為閘極絕緣膜或保護膜使用。 (〇)—種半導體薄膜或半導體裝置的製造方法,其係在藉 -42- 1313059
由閃光燈退火所形成之低畸變點玻璃或高畸變點玻璃或耐 熱性樹脂基板上之含有或不含至少一種錫等IV族元素之多 晶性或單晶性半導體薄膜上,在^ MPa以上,3〇 MPa以下 ,常溫以上,基板之畸變點以下溫度之高壓低溫的氧化性 環境中(空氣 '氧氣、臭氧、水蒸氣、一氧化碳、二氧化碳 等),形成氧化系絕緣膜(氧化矽膜、氧氮化矽膜等),將該 氧化系絕緣膜作為閘極絕緣膜或保護膜使用。 上述(0)項之方法,在藉由閃光燈退火所形成之低畸變點 玻璃基板或高畸變點玻璃基板或耐熱性樹脂基板上之含有 或不含至少一種錫等Iv族元素之多晶性或單晶性半導體薄 膜上,藉由下述一種高壓低溫退火之次臨界水反應或超臨 界水反應开;ί成氧化系絕緣膜,將其使用於閘極絕緣膜或保 遵膜’宜觀察下述①〜②項所述的效果。 次臨界水反應:以溫度、壓力低於水臨界點(374艺, MPa)的熱水(次臨界水)實施反應。 超臨界水反應:以水臨界點(374°C,22 MPa)以上狀態之 超fe»界水實施反應。 ① 藉由該反應,可形成良好的閘極絕緣膜與良好的界面 ’降低多晶性或單晶性;ε夕薄膜的結晶缺陷,TFT之Vth及s 值知兩,並且TFT之良率及可靠性提高。 ② 以高溫熱氧化(如1050。(:,60分鐘)形成閘極絕緣獏(如 二氧化矽膜)時,TFT用之8" φ,約800 μηι厚之石英破璃上 發生100〜150 μηι的晶圓翹曲’因該晶圓翹曲而產生以下 (a)〜(e)項的缺點: -43- 本紙張尺度適用中國國家標準(CNS) A4规格(210X 297公釐)
裝 訂 f 1313059 A7 ----- -B7 五、發明説明(41 ) ()谷易發生真空吸附不良等光刻及蝕刻作業的問題。 ―⑻―在基板令央部及外圍部發生聚焦㈣造成精度不穩 疋,谷易發生良率及品質降低的問題。 / (c)TFT基板與相肖基板重疊時液晶間隙控制困難,且往 在造成液晶間隙***的,女且a 个十^ 而今易發生透光率及對比降低之 良率、品質降低的問題。 ⑷由於液晶離動(TFT)基板的内面常發生損傷因此需 要實施内面光學研磨,因而成本提高。 (e)石英玻璃尺寸如從8”φ擴大至12„ φ時,晶圓翹曲更大 ’上述問題更加嚴重’容易造成良率、品質及生產性降低。 反之,上述(〇)項的方法,由於以高壓低溫之次臨界水反 應或超臨界水反應以形成閘極絕緣膜(如二氧化矽膜),因
而無晶圓翹曲,不發生上述各項問題,可因良率、品質及 生產性提高而大幅降低成本。 (Ρ)—種半導體薄膜或半導體裝置的製造方法,其係藉由 在氧化性環境中(空氣、氧氣、臭氧、水蒸氣、一氧化碳、 二氧化碳等)’將閃光燈退火所形成之高畸變點玻璃基板上 之含有或不含至少一種錫等IV族元素之多晶性或單晶性半 導體薄膜予以高溫熱氧化,以形成氧化系絕緣膜(氧化矽膜 、氧氮化矽膜等)’將該氧化系絕緣膜作為閘極絕緣膜或保 護膜使用。 (q)—種半導體薄膜或半導體裝置的製造方法,其係藉由 在氧化性環境中(空氣、氡氣、臭氧、水蒸氣、一氧化峻、 二氧化碳等),將閃光燈退火所形成之高畸變點玻璃基板上 -44· 本紙張I度適财g g家標準(CNsTa4規格(21GX 297公爱) "' -- 1313059
之降低光反射及保護用絕緣膜之含有或不含至少一種锡等 IV知元素之多晶性或單晶性半導體薄膜予以高溫熱氧化, 以形成氧化糸絕緣獏(氧化矽膜、氧氮化矽膜等),將該氧 化系絕緣膜作為閘極絕緣膜或保護膜使用。 (0—種半導體薄獏或半導體裝置的製造方法,其係經過 在常溫〜基板之畸變點以下溫度、分壓13.33 Pa以上,包含 飽和蒸汽壓以下之水之氣體之環境中的加熱步驟(水蒸氣 中退火)’將藉由閃光燈退火所形成之含有或不含至少—種 踢等IV族元素之多晶性或單晶性半導體薄膜予以改良。 該方法為求將因所形成之絕緣膜中之缺陷及雜質所引起 之正電荷予以中性化,使靠近負端之平帶電壓接近〇 V來改 良絕緣膜,係執行在常溫〜基板之畸變點以下溫度、分壓 13.33 Pa以上,包含飽和蒸汽壓以下之水之氣體之環境中的 加熱步驟(水蒸氣中退火)。此時,依據基板之材質及尺寸 '多晶性或單晶性矽薄膜及絕緣膜之厚度及膜質等來設定 如10〜60分鐘的加熱時間。 其-入’就較佳之實施形態進一步詳細說明本發明。 _第一種實施形姨 參照圖1〜圖3 3說明本發明之第一種實施形態。 本實施形態為將本發明應用在表面閘極型多晶性矽輔助 金屬氧半導體(CMOS ; Complementary MOS) TFT 者。 <觸媒CVD法及其裝置> 首先,說明本實施形態中使用的觸媒法。觸媒 法係使包含氫系載氣與矽烷氣體等原料氣體之反應氣體與 -45-
1313059 A7 B7 五、發明説明(43 ) 加熱之嫣等觸媒體接觸,在藉此產生之自由基之堆積種或 其先驅物及活化氫離子等之氫系活性種上賦予高能量,在 基板上使含非晶質矽之微結晶矽等低級結晶性半導體薄膜 汽相生長。 該觸媒CVD係採用如圖5〜圖6所示之裝置實施。 該裝置之包含風系載氣與氫化石夕(如甲石夕烧)等原料氣體 4〇(及依需要亦包含b#6及pH3, SnH4等摻雜氣體)的氣體, 自供給導管4 1通過沖淋頭42的供給口(圖上未顯示),導入 成膜室44内。成膜室44内部分別配置有用於支撐玻璃等基 板1的承受器45 ;耐熱性佳(宜包含與觸媒體46相同或其以 上之熔點的材質)之沖淋頭42 ;如線圈狀之鎢等觸媒體46 ; 及可開閉之快門(Shutter) 47。另外,在承受器45與成膜室 44之間設置磁板,不過圖上並未顯示,此外,成膜室料設 置於執行前步驟之前室之後,並經由閥門,以渦輪分子泵 等排氣。 繼續,基板1以承受器45内之加熱線等加熱機構加熱,而 觸媒體46如作為電阻線,加熱至熔點以下(尤其為8〇〇〜 °C,為鎢時約為1600〜1800。〇被活化。觸媒體牝的兩端子 連接於直流或交流之觸媒體電源48,藉由該電源通電而加 熱至特定溫度。 實施觸媒CVD法時,在圖5的狀態下,成膜室料内之真空 度設定為1,33 χ 10_Μ·33 X 10-6 Pa,如供給氫系載= 1〇〇〜200 SCCM,將觸媒體加熱至特定溫度而活化後,自供 給導管41 ’通過沖琳頭42之供給口43,導人包含氮化石夕 -46 - 1313059 五、發明説明(44 ) (如甲石夕院)氣體g SCCM(及依需要亦適量包含B2H6及 四3專摻雜氣體)的原料氣體4〇,氣壓在mm 3〜,如 設i^.33Pa。此時,氣系載氣可為氫、氫+氬、氫+氦 :風、風…氫+氣等之在氫内適量混合惰性氣體 的任何一種氣體(以下均同)。 繼續,如@6所示,打開快門47,使原料氣體扣之至少一 部分與觸媒體46接觸,使其觸媒分解,藉由觸媒分解反應 或/及熱分解反應’形成包含高能量之石夕等離子、自由芙等 反應種群體(亦即,堆積種或其先驅物及氫系活性種等 以高能量,使如此產生之離子、自由基等反應種5〇在保持 2〇0〜8〇〇°C (如300〜4〇〇t )的基板1上,作為含非晶質石夕之微 結晶石夕等的特定膜’使其汽相生長。 如此,由於不產生電漿,對反應種賦予觸媒體^之觸媒 作用與其熱能產生之高能量,因此,可有效的將原料氣體 轉變成反應種,以熱CVD均勻的堆積在基板丨上。 此外,即使將基板溫度予以低溫化,由於堆積種的能量 大可獲得所需之良好品質的膜,因此可使基板溫度更加 低溫化,可使用大型且廉價之絕緣基板(硼矽酸玻璃、鋁矽 酸璲璃等低畸變點玻璃基板、聚醯亞胺等耐熱性樹脂基板 等)’在這方面亦可降低成本。 當然,由於不產生電漿,可獲得無電漿造成損傷之低應 力的產生膜,並且遠比電漿CVD法容易獲得廉價的裝置。 此時’雖可在減壓下(如0.133〜1.33 Pa)或常壓下執行操 作’不過常壓型要比減壓型更容易獲得廉價的裝置。且常 -47- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) 1313059
壓型與先前之常壓CVD比較,可獲得密度、均勻性與密合 性佳之高品質膜《此時,常壓型的生產性亦高於減壓型, 生產性高’即可降低成本。 上述觸媒CVD中,因觸媒體46的輻射熱,基板溫度上昇 ’不過如上所述’可依需要設置基板加熱用加熱器或冷卻 機構5 1。此外’觸媒體46係採線圈狀(除此之外,亦可採篩 網、線狀、多孔狀),若再於氣體流動方向上形成數段(如 2〜3段)’可增加與氣體的接觸面積 '另外,該cvd係將基 板1置於承受器4 5的下面,配置在沖淋頭4 2的上方,因此 在成膜室44内產生之微粒掉落,不致附著在基板1或其上 的獏上》 <閃光燈退火及其裝置> 圖7顯示一種實施閃光燈退火的裝置(退火器)。如此,在 配置於發光光線遮蔽用之外側框體2〇〇内之相同内側框體 2 〇1内(均以氣清除),將封入氙氣等之如1 0個閃光燈2 0 3作 為备、外線放射源,在其背.面設置反射鏡2 〇 4以增強其照射強 度。此外’在燈管203與(附低級結晶性矽薄膜之)絕緣性基 板1之間,藉由透鏡、反射鏡等構成之聚光整形機構(圖上 未顯示),將照射光210聚光整形成線狀{如(50〇〜6〇〇 mm) χ (1〜10 mm)}、長方形{如(i0〜100 mm) χ (2〇〇〜3〇〇 mm)}、或 正方形(如100 χ 100 mm)或圓形(如1〇〇〜3〇〇 實施閃光 照射,亦可減少照射強度不平均,提高熔融效率及生產量 以提咼生產性。基板1藉由承受器208内之加熱器209預先加 熱至其畸變點以下。亦可在反射構件(反射鏡等)2〇4的表面 -48- 1313059 A7
設置微細凹凸形狀(玻璃加工、蝕刻等),以促使亂反射之 反射光的亮度均勻化。 亦可在燈管203與絕緣性基板1之間設置含銅粉末、鐵粉 末、磷酸等熱線吸收材料之濾色器玻璃(熱線吸收濾色器) 或塗敷ΙΤΟ膜等紅外線反射膜之冷反射鏡/冷濾色器或組合 兩者之濾色器(如在熱線吸收濾色器上塗敷紅外線反射膜 之濾色器)等至少遮蔽或降低紅外線之熱線降低或熱線遮 蔽濾色器205,使基板溫度上昇降低。框體2〇1背面藉由通 過循環冷媒之如水冷管207以避免上昇至所需以上的溫度 ,有助於保持反射板204之反射功能及燈管;205的發光穩定 性。 如後述,燈管205施加由閃光式放電機構所產生之高壓脈 衝時’封入燈管内部之氙等氣體瞬間引起絕緣破壞,此形 成觸發物’儲存在電容器内之電能在極短時間(MSec〜msec) 内全部釋放到燈管内’此時放出強烈之弧放電的閃光 (Flash)。該閃光被低級結晶性矽薄膜吸收,被轉換成熱而 溶融。 此時’自燈管205照射之光線2 1 0如包含圖8所示的光譜分 布’包含對應於低級結晶性矽之吸收波長(紫外線區域,約 400 nm以下)之發光強度的波長。另外,照射光2 1 〇亦可以 切割長波長成分等之後述的方法控制光譜,不過,當含相 ¥程度之長波長成分時,此可藉由將基板丨予以加熱而有助 於因逐漸冷卻的大粒徑化。 圖25、圖26為藉由本發明之閃光燈退火所形成之多晶性 __ -49- 本紙張尺度適用巾@ g家標準(CNS) Μ規格(21Q x 297公釐) 1313059
石夕薄膜的掃描電子顯微鏡(SEM)照片,從照片上可知存在 上述數尺寸之大粒徑的多晶矽粒β有關於此,詳述於 後。 反射鏡204包含圖9所示之凹面狀(Α)與平面狀(6)型,前 者適用於經由聚光透鏡211實施帶狀的閃光照射(提高亮度) ,而後者適用於經由光整形器(光均勻器)212,實施大面積 之正方形、長方形等閃光照射(提高均勾性)。此時,亦可 依需要經由上述降低熱線或遮蔽濾色器,向特定方向投光 °另外’亦可在該光整形器(光均句氣等)上塗敷熱線反射 膜。 Ή如在1000 X 1〇〇〇 mm之玻璃基板丨上實施閃光燈退火 k ’有以下(1)〜(3)的方法可適切選擇。 (1)如圖10之(1)所示,對應於圖7的範例,在整個1〇〇〇 X 1000 mm的大面積上實施一次或反覆必要次數的閃光照射。 又⑺如圖10之(2)所示’目定基板1,以電流計掃描器掃描 聚光整形成200 X 200 mm正方形的閃光照射光21〇,對相同 區域照射-次或反覆必要次數,依需要實施重疊掃描,實 施閃光照射。 ' (3)如圖11之(3)所示,固定聚光整形成2〇〇 χ 2〇〇 正方 形的閃光燈照射位置,使基板1以步進及反覆方式高精度的 向X— Y方向移動,對相同區域照射一次或反覆必要次數, 依需要實施重疊掃描,實施閃光照射、 另外,以電流計掃描及步進及反覆實施閃光照射時,為 求減少因閃光照射光向鄰近區域串擾造成晶化不平均,宜 -50- 本纸張尺度適財目®家標準規格(210X 297公ΪΓ 1313059 A7 ___B7 五、發明説明(48 ) 設置防止橫向漏光的屏蔽板,儘量縮小(如在丨〇 mm以下) 基板與燈蕈(框體)或真空容器放射面的間隔。 而該閃光燈退火條件(燈管之發光波長、照射強度、照射 時間、冷卻速度等)亦可藉由低級結晶性矽膜厚、玻璃基板 之耐熱溫度、所獲得之結晶粒徑(載體移動率)適切決定最 佳化。此外,於該閃光燈退火時,為求以基板溫度均勻化 及穩定化促使晶化膜的均勻化、晶化膜及基板應力降低及 減少燈管照射能’可以加熱器209及紅外線燈(鹵素燈)等將 玻璃基板1予以加熱至玻璃基板丨之畸變點以下的常溫〜 500°C,更宜為300〜40(TC下。 此外’可使用於本發明之紫外線光源燈2 〇 3,宜採用閃光 式且可使其反覆發光者,如可採用氙燈 '氙水銀燈、氙氪 燈、氪燈、氪水銀燈、氙氪水銀燈、金屬_化燈等各種燈 。用於使燈閃光性發光的閃光式放電機構,如圖12所示, 如由直流電源2 14、用於儲存電荷之電容器c、在燈電源2 14 與燈管203間包含配線的電感、電容器c的内部電感、脈寬 »周整用之電感等所形成之電感L等’構成有放電燈電路。 如使用相同形狀的燈管時,提高電容器之充電電壓使其 放電時’放電時之電壓夸值提高,結果波長為4〇〇 nm以下 之紫外線波長區域之光譜強度相對增加。此外,電容器之 充電電壓-定時’如降低電感,則減少1/3脈寬,放電電流 波形峰值增加,結果,波長為4〇〇 nm以下的紫外線波長區 域的光譜強度相對增加。 為促進大粒徑(高載體移動率之多晶化)與上述圖形外延
1313059 A7 B7 五、發明説明(49 ) 或異質外延生長時的單晶化’宜於石夕炫融後逐漸冷卻,因 此須適切控制閃光燈退火時之閃光時間(脈寬)及峰值、以 及燈反覆發光速度及頻率,尤其於1/3脈寬長時’宜在1毫 秒以上,更宜為1.5毫秒以上,另外,1/3脈寬宜依低級結晶 性半導體薄膜之製法、膜厚等而適切改變。 另外,且可適切調節流入燈管2 〇 3之放電電流蜂值及脈寬 ’以及燈管發光反覆速度及頻率。此時燈管的連接方法如 下: (1) 如圖12之(1)所示,將串聯之兩支燈管2〇3分別連接於 燈管電源2 14,使合計四支燈管並聯同步發光。 (2) 如圖12之(2)所示,在各燈管2〇3上設置電源214,使燈 管同時發光。 (3) 如圖12之(3)所示,將串聯之燈管2〇3連接於一個燈管 電源2 14 ’使各燈管同時發光。 可採以上任一種方式。 ,此:使用數支燈管時’使數支燈管同步發光可提高效 率。通常,宜藉由使燈管發光之觸發電路(圖上未顯示), 數支燈管被同步觸發,使數支燈管同時發光。藉由觸發電 =,通過觸發電極,施加如數十kv的高壓脈衝時,在發光 管内之氙氣體中’沿著觸發電極形成有細長的雪崩電子流 ,而引起部分絕緣破壞,主放電則沿該部分生長(上述之缝 劫機方式的亮燈則無此種觸發電極)。 如在圖13之⑴所示的閃光燈構造,如在直徑為匪, 長度為150删之直管型發光管215的兩端附近相對配置有 -52-:297^57 1313059 A7 B7 五、發明説明(51 光的亮度均勻性及透明導電性覆膜的密合性,亦可改善有 彈性之螺旋狀金屬線的密合性,因此可穩定發光且延長使 用壽命。雖然長150x寬ΙΟΟχ高10 mm之平行平板型發光管 220的照射面積相當於數支(如⑺支女長^。mrnx直徑1〇 mm 的直管型發光管’但是整體而言可以較低的耗電實施間光 照射’因此效率高,在價格上也因更換頻率低而可達到成 本降低。_ 此時’藉由在平行平板型發光管之投光面的背面,將透 明電極性覆膜或金屬覆膜予以圖案化,並聯設置觸發電極 線,以降低數對電極間的放電不平均,因此可穩定發光且 延長使用壽命。 在發光管後方設置冷卻之反射構件時,由於使用中不致 形成高溫,因此可避免反射構件的功能老化,燈管操作穩 疋化,且不致因釋放剩餘氣體造成外圍器内部的環境总化 穩定發光且延長使用壽命。另外’亦可藉由;扇 尊乱7式,或循環之純水(或超純水)的水冷式來 管及反射構件。 ^卩發先 <觸媒⑽(或電聚CVD等)與閃光燈退火的連續處理〉 著眼於防止雜質及提高生產性方面,將低級結晶 體缚膜形成步驟或機構(„CVD、觸媒cvd 閃光燈退火或退火器予以一體化的裝置,宜葬由:寺)與 (連續處理室)方式(線型、旋轉型)、多處理室^ =上 式等連續或依序實施。 秩團方 其中更宜採用如下, 卜或(2)項中的蔟團方式:
1313059 五、發明説明(53 ) 矽薄膜化,將該底層作為晶種,於潔淨之界面上堆疊 級結晶性矽薄膜藉由繼讀實施閃光燈退火,堆疊形成良好 結晶之大粒徑多晶性或單晶性半導體薄膜。 又 另外為防止氧化及氮化,係在減壓氫或減壓氫系氣體 環境:或真空中實施閃光燈退火。該環境係氫或氫與惰性 氣體(氬、氦、氪、氙、氖、氡)的混合氣體,氣壓在I”匕 以上,未達大氣壓,更宜為133 pa〜4 χ 1〇4Pa。真空度在1 Μ Pa以上,未達大氣壓,更宜為13 3 ρΜ 33 χ ι〇4ρ&。但是 ’低級結晶性半導體薄膜表面上有絕緣性保護膜(氧化矽: 或氮化矽膜或氧氮化矽膜或氧化矽膜/氮化矽膜疊層膜等) 時’或非連續作業時,亦可在空氣中、大氣壓氮氣中: 另外,由於觸媒CVD及閃光燈退火之實施均不產生電漿 ,因此,不致因電漿造成損傷,可獲得低應力的產生膜, 且與電漿CVD比較,容易實現廉價的裝置。 此外,上述之閃光燈退火還可實施下述所示的各種改 良: 在空氣或大氣壓氮氣中實施氤燈的f/^照射時,產生與 雷相同之利用於晶化的閃光及不需要的撞擊聲。因此,遮 光及防音的因應對策係如圖17(A)所示,採用將燈管2〇3放 置於密閉型真空容器201内,經由彈簧23〇將燈管2〇3及反射 構件204安裝在真空容器2〇1内的構造。亦可使用彈簧23 〇 以外的緩衝材料。 * 此時,如圖17(B)所示,固定燈室之下方照射型的真空容 器201,使附低級結晶性矽薄膜的基體丨實施高精度步進及 1313059 A7 B7 五、發明説明(55 ) (Flash)。 ② 經過特定時間後,繼續,SW2與SW2,被開啟,藉由c2實施 閃光照射。 ③ 經過特定時間後,繼續,SW3與SWS,被開啟,藉由c3實施 閃光照射。 SW4SW丨,、SW2與SW2I、SW3與sw3,於閃光之後關閉 (OFF)。繼續,於放電結束的幾乎同時,自直流電壓電源端 開始對充電用電容器實施充電。充電所需時間與藉由充電 用電容器與充電電流控制電阻乘積所求出之時間常數r有 關。該時間常數r與放電時間t的關係通常為r》^。另外, 閃光照射時之閃光照射能E係由Ε=(ι/2) X c x V2(J)求出 (其中’C為放電用電容器(pF)’v為施加電壓(v))。 如圖1 8之(a)所示,每次閃光照射中實施步進及反覆工作 時’係將玻璃基板1内的照射面積分割成9塊,按照圖式的 程序分別實施照射。 如此,於各次閃光照射中,使基板實施步進及反覆工作 時,係如以下的(1)〜(3)所述: (1) 在1 m X 1 m玻璃基板上形成有低級結晶性矽薄膜(厚 度50 nm)在其表面形成有保護及降低反射用氧化石夕膜(厚 度10~50 nm)。繼續在主動元件(m〇STFt、二極體等)與被 動元件(電阻、電容等)的區域予以島狀化。 (2) 將該玻璃基板内的照射面積分割成9塊,在各區塊内 各照射一次包含330 X 330 mm之照射面積的閃光照射光。 (3) 假定充電用電容器之充電時間為2〇秒,基板之步進及 L________二 58 _ 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) 1313059 A7 B7 五、發明説明(56 ) 反覆工作未達10秒。 此時,像用圖23所示之放電電路,執行以下(a)〜(d)的工 作: (a) 將觸發開關SW,與放電開關SW!,自開啟切換至關閉 (ON— OFF)’藉由放電用電容器Ci對照射區塊①照射一次閃 光,同時將放電用電容器匕充電。 (b) 之後移動基板,將照射區塊②設置在照射位置,將觸 發開關SW2與放電開關SW2,自開啟切換至關閉(〇N— 〇FF) ’藉由放電用電容器&對照射區塊②照射一次閃光,同時 將放電用電容器C2充電。 (c) 之後移動基板,將照射區塊③設置在照射位置,將觸 發開關SW3與放電開關si’自開啟切換至關閉(〇N— 〇ff) ,藉由放電用電容器C:3對照射區塊③照射一次閃光,同時 將放電用電容器c3充電》 (d) 之後移動基板,將照射區塊⑥設置在照射位置,將觸 發開關SW!與放電開關SWl,自開啟切換至關閉(〇N— 〇FF) ,藉由完成充電之放電用電容器(^對照射區塊⑥照射一次 閃光,同時再度將放電用電容器C!充電。 反覆以上工作,對1 m X丨m玻璃基板内實施閃光燈退火 。因此’放電用電容器之充電時間愈短,當然愈能提高生 產性。但是,低級結晶性半導體薄獏,如將非晶質矽膜之 熔點(約uoot::因CVD、濺射等成獏方法會有所變動)附 近假定為峰值P的3/4 P。因此,如峰值p低時,會變化成4/5 脈寬等。 -59-
1313059 五、發明説明(57 ) 先前之使用於DVD貼附等上的閃光照射,係管理放電带 流之峰值0)與1/3脈寬(1/3 p)或1/2脈寬〇/2 p)等不過2 發明之低級結晶性半導體薄膜的溶融及冷卻,對大粒^化 及高晶化需要僅可超過非晶質石夕膜之溶點(約12戰): 值的炫融時間及冷卻時間。因此’本發明宜對放電電 峰值(P)與脈寬貫施如下的管理。 先前:峰值與1/3脈寬(或1/2脈寬) 本發明:(1)峰值與1/3脈寬(或1/2脈寬) (2) 峰值與1/3脈寬(或1/2脈寬) 與2/3脈寬(或3/4脈寬) 但是 (3) 峰值與2/3脈寬(或3/4脈寬) 3M脈寬如在非晶質矽膜的熔點附近,峰值低 則變動成4/5脈寬等。 閃光照射條件的管理為由構成照射能e=(i/2) c 施加電壓V與充電用電容器C與電感L所決定之放電電流的 峰值P與下述的脈寬(時間寬)。 m/3脈寬為輸人電流波形自零上昇’彡到峰值的1/3 ’之後’再度衰減至峰值之1/3的時間寬,本發明宜在玉$ msec以上〇 ' r 2=1/2脈寬為輸人電流波形自零上昇’達到峰值的Μ ’之後’再度衰減至峰值之1/2的時間寬,本發明宜在上〇職。 以上。 Γ广2/3脈寬為輸入電流波形自零上昇,達到峰值的2/3 ’之後,再度衰減至峰值之2/3的時間寬,本發明宜在〇8 60- :297公釐) 1313059
m s e c以上。 ’達到峰值的3/4 '本發明宜在〇. 5 半導體薄膜的熔 τ 4 = 3/4脈寬為輸入電流波形自零上昇 ,之後’再度衰減至+值之3 /4的時間寬 msec以上。另外,該3/4脈寬在低級結晶性 點附近。 另外’反覆閃光照射同一區域時,係如圖^ 9之⑻所示執 行0 '、 例如’假定非晶質石夕膜之溶點(依非晶質石夕骐之成膜條件 而異’約1200。〇為3/4脈寬時,藉由Cl的放電達到p(峰值) 時,保持! q的熔融時間,藉由經過r “時間之前的q放電 ,保持Γ Ο的熔融時間,藉由經過r η時間之前的&放電, 保持r 43的熔融時間,整體而言,於保持r q = r + + r43(如1.5=0.5+0.5+0.5 msec)的熔融時間後,逐漸冷 卻並晶化(其程序如下所述)^因此,此時為c ^ >匸,, 施加電壓為ΕαΕ22Ε3。
SWi/SWi' ON—OFF
Γ 4 I 0·5 msec以内時,SW2/SW2' ON—OFF r I 42 0.5 msec以内時’ SW3/SW3' ON-> OFF r I 43 此外,如圖20所示,放電電流波形可控制各種一次閃光 照射時之放電電流的波形類型。 圖中之①與圖19相同,通常包含急遽上昇的波形與比較 性急遽下降的波形。此外’②為藉由放電電路(c、L、R等) 的調整,緩慢並傾斜上昇的波形及下降波形,並藉由預熱 及逐無冷卻效果,形成有南晶化率之多晶性或單晶性半導 -61 - 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1313059 A7 B7 五、發明説明(59 ) 體薄膜。另外,③為藉由放電電路(c、l'r等)之調整,比 〇更緩〖又並傾斜之上幵波形及與①相同之比較性急遽下降 的波形,並以預熱效果(提高晶化的均勻性)形成有多晶性 半導體膜或單晶性半導體薄膜。 其次,圖2 1顯示以閃光照射預熱時,藉由Ci的放電保持 :3! (2/3脈寬)的預熱時間,藉由Cz的放電保持r 41 (3/4脈 寬)的熔融時間,藉由&的放電保持r η (3/4脈寬)的熔融時 間’此時為C, < C2g C3 ’施加電壓為El < E2g e3。藉由該 預熱,晶化的均勻性提高,形成有均勻特性的多晶性或單 晶性半導體薄膜。 此時,Tsi:藉由充電用電容器Cl之放電的放電電流自 令上昇,達到r彳丨与r η之峰值之3/4(熔點)之2/3以上〜未 達3/4,於未達熔點之後,再度衰減至2/3以上〜未達的 時間寬(脈寬)。 Γ4ι.藉由充電用電容器c:2之放電的放電電流自零上昇 ,達到峰值之3/4,之後,再度衰減至3/4的時間寬(脈寬)。 ^42.藉由充電用電容器C3之放電的放電電流自零上昇 達到峰值之3/4,之後,再度衰減至3/4的時間寬(脈寬)。 圖2 ..属示以閃光照射逐漸冷卻時,藉由c 1的放電保持r 的溶融時間,藉由C2的放電保持r η的後加熱時間,藉由 C3的放電,保持τ 33的後加熱時間,有關r 41(3/4脈寬)、r 3:2及 r 33(2/3脈寬)的關係為C|>C2gC3, Ει>Ε2$Ε3。藉由 該逐漸冷部’以大粒徑形成有高晶化率的多晶性或單晶性 半導體薄膜。 -62- 本紙張尺度適财㈣家科(CNS) Α4規格⑽X 297公爱)
裝 訂
線 1313059 A7 B7 分光特性的結晶性。 <評估範例Α的製作條件> 基板 低級結晶性半導體薄膜 .石央玻璃20 X 20 X 0.7 mm 閃光燈退火條件 :RF電漿CVD之非晶質矽膜 (厚度 200 nm) 霍爾元件圖案 離子植入及活化處理
:照射能約20 J/cm2(相對值)施加 電壓約2500 V ’ 1/3脈寬1.5 msec ’燈官與基板間距離5 0 m m, 大氣壓氮氣中,基板溫度350°C :在 5 X 5 mm,2 X 2 mm,1 X 1 mm 的四角形成A1電極(測試端子) :碟離子10 keV 3 X 10l4atoms/cm2的劑量, 550〜580°C,30分鐘退火 <霍爾效果的原理> 所謂霍_效|,係指在試劑上使電場與磁場彼此垂直時 •在垂直於該電場與磁場兩者之方向藉由載體工作而產生 電動勢使用該效果之測試法為霍爾測試,可以非常簡單 的方式評估試劑中之載體種類、密度(空穴或電子密度 移動率。 <霍爾效果測試> 測試器 評估條件 磁場
Bio-Rad HL5500 Hall System I — means : 10 μΑ DC,室溫, 0.320 Tesla、Targ. V : 20 mV -64 - 1313059 五、發明説明(62 ) <測試值> cm 板電阻 RS=619 〇/cm2,電阻率 R=〇〇i24 ω =子雜質濃度Ν=7·68 x l〇i8at〇ms/cc 霍爾效果電子移動率65 7 em2/v · s 根據該結果’在相同條件下評估時之心⑴準分子雷射退 火广之多晶矽薄膜的霍爾效果電子移動率為…糾〜“ = .S,而本發明之閃光燈退火法之多晶石夕薄膜的霍爾 效果電子移動率為με= 65 7 cm2/v· s,可獲得⑽$倍的高 載體移動率。#由該閃光燈退火條件的最佳化,可獲得; 大之結晶粒徑與高的載體移動率。 此外,圖25所示之SEM觀察(χ5〇〇〇)證實,包含範例a之 多晶性碎的粒子比數大。 再者,如圖28所示,根據喇曼散射分光法 長5一),光束徑丨…晶石夕比較:以下均= 微測試,範例Α與單晶矽比較,雖可獲得不差於其的結晶 性,但是反映出粒徑/粒場/應力等微妙的構造差異,喇曼光 譜較傾向於低頻端(非晶質矽端)。 <評估範例B、C的製作條件> 基板 :蝴矽酸玻璃基板20 X 20 X 〇.7 mm 低級結晶性半導體薄膜:RF電漿CVD之非晶質;ε夕膜 (厚度 50 nm) ' 保護用絕緣膜 ’氧化石夕膜(厚度50 nm)(但是 區域的一半除去保護用絕緣膜 有^化矽膜的部分為範例B, 無氧化^夕膜的部分為範例C。) -65- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 1313059 A7 B7 五、發明説明(63 ) 閃光燈退火條件 :照射能約20J/cm2(相對值) 施加電壓約2500 V, 1/3脈寬 1.5 msec, 燈管與基板間距離50 mm, 空氣中,
基板溫度350°C 有關範例B之SEM觀察(X 5000),如圖26所示,由於覆蓋 保護及降低反射用氧化矽膜(參照圖24),因此,閃光燈退 火時熔融之矽被關入保護及降低反射用氧化矽膜與玻璃 基板之間,為使任意之結晶核晶化成晶種,概略以5〇 的厚度升;J成有島狀之粒徑為3〜8 μηι之大粒後的多晶性石夕 薄膜。 阳 此外,如圖29所示,根據喇曼散射分光法的顯微測試, 範例B(覆蓋保護及降低反射用氧化矽臈)形成有粒徑為3〜8 μιη之大粒徑的多晶性矽薄膜,因此可獲得 左·^早晶發之 結晶性的多晶性矽薄膜。 此外,有關範例C之SEM觀察 所不’由於無保護 及降低反射用氧化矽膜(參照圖24),因此閃光燈退Ζ時之 炼融之矽一部分飛濺,並因表面張力而凝 J㈤成任意形狀, 形成有數十μιη尺寸的石夕粒(塊)。 此外’如圖30所示’根據剩曼散射分光法的顯微測試, 範例C(無保護及降低反射用氧化矽膜) 乂战有數十尺 寸之任思形狀的矽粒(塊),此為概略類似。。曰 ' ;早日日矽的結晶 另外,上述剩曼光譜之哨曼散射分光法包含如下的特 -66-
1313059 A7 B7 五、發明説明(64 測試原理 ί=雷u射)光於物質上時之非彈性 用時之波長變化予以分 念種II有關物質之原子/分子/電子構造的 :壞性獲得目的位置(> i μιηφ)的物質資 .i s „曰曰’非晶質)中各種素激勵之 月b源、'•口日日的對稱性及均句性資訊。 如圖28及圖29所示,所獲得之喇曼光譜呈現包含廣泛非 對稱的峰值,不過該形狀的差異反映出矽薄膜之粒徑/粒場 /應力等微妙構造的差異,亦與電特性包含密切關連。依據 該關係’找出可獲得最適於聚矽TFT形狀之矽:丁〇 —聲子 峰值(phonon peak)的製作條件,可結合處理的最佳化。 此外’閃光燈退火之低級結晶性半導體薄膜的晶化處理 時,施加磁場或電場,或磁場及電場,在此作用下實施退 火時,可集中晶粒的結晶方位。 例如,施加磁場時,如圖3丨所示’在容納閃光燈裝置與 基板1之真空容器2 0 1的外圍設置永久磁鐵2 3丨或電磁鐵2 ^ 2 ,在此種磁場的作用下實施閃光燈退火。 如此,如在磁場的作用下於低級結晶性矽薄膜7A上實施 閃光燈退火時,先行熔解之矽薄膜7A之矽原子的電子自旋 與磁場相互作用,朝向一定方向’自該狀態因冷卻而凝固 時,矽的結晶方位集中。由於如此被晶化之臈概略集中在 結晶方位,因此,包含粒場之電子勢壘降低,載體移動率 變大。此時’重要的是將結晶方位集中在一定方向,因應 __-67 本纸紅度通财g ®家料(CNS) A视格(21()χ297公爱) 1313059 A7 B7
五、發明説明 石夕原子之外殼執道的構造’肖晶亦可能集中在所獲得之以 夕晶石夕薄膜7的垂直方向,或是亦可能結晶方位集中在水平 方向。藉由晶粒集中,彡晶石夕薄膜的表面無凹凸, 面形成平坦化,與連接此所形成之問極絕緣膜等之間的界 面狀態良好’載體移動率獲得改善β 而由於用於在該磁場作用下之閃光燈退火的閃光燈2〇3 係容納在真空容器2G1内,因此其照射效率佳,可充分 閃光燈特有的上述作用。 圖32係顯示-種以電源233施加電場以取代上述磁場,在 容納閃光燈裝置與基之真空容器2〇1的外圍設置施加高 頻電壓(或直流電壓或此等兩纟)的電極234,在此電場的作 用下實施閃光燈退火。 此時,先行熔解之低級結晶性矽薄膜7八中之矽原子的電 子自旋與電場相互作用’朝向一定方向,自此種狀態因冷 卻而凝固4,實施包含-定方向的晶化。此與上述磁場時 同樣的,晶粒集中在一定方向,載體移動率提高,且表面 的凹凸亦減少。此外,閃光燈2〇3的照射效率亦佳。 圖33係一種除上述磁場之外亦同實施加電場,與容納閃 光燈裝置與基板1之真空容器201外圍之永久磁鐵231(亦可 為電磁鐵)的磁場同時,在施加高頻電壓(或直流電壓或此 等兩者)之電極234之電場同時作用條件下實施閃光燈退 火。 此時,先行熔解之低級結晶性矽薄膜7A之矽原子的電子 自方疋在磁%與電場相互作用下朝向一定方向,自此種狀態 _ -68- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1313059 Α7 Β7 五、發明説明(肪) 因冷卻而凝固時,藉由磁場與電場的相乘積作用,實施更 具方向性的晶化。因此,晶粒更容易集中在在一定方向, 載體移動率進一步提高,且表面凹凸亦進一步減少。此外 ,閃光燈203的照射效率亦佳。 <表面閘極型CMOSTFT之製造> 其次,顯示一種使用本實施形態之閃光燈退火之表面閘 極型CMOSTFT的製造》 首先,如圖1之(1)所示,在硼矽酸玻璃、鋁矽酸玻璃、 石英玻璃、晶化玻璃等絕緣基板1之至少TFT形成區域上, 藉由電漿CVD、觸媒CVD、減壓CVD等汽相生長法,在下 述條件下形成包含保遵用亂化石夕膜及氧化石夕膜之疊層膜的 底層保護膜100(以下均同)。 此時’依TFT形成之處理溫度選擇使用玻璃材質。 於2 0 0〜5 0 0 C的低溫時:亦可使用蝴梦酸、紹石夕酸玻璃等 玻璃基板(如500 X 600 X 0.5〜1.1 min厚)、聚醯亞胺等耐熱 性樹脂基板。 於600〜1000°C的高溫時:亦可使用石英玻璃、晶化玻璃 等耐熱性玻璃基板(如6〜12吋φ,7〇〇〜8〇〇 μπι厚)。由於保護 用氮化矽膜係用於避免自玻璃基板摻雜鈉離子而形成,因 此使用合成石英玻璃時不需要該氮化矽膜。 此外,使用觸媒CVD時,雖可使用圖5及圖7所示之相同 的裝置,但是為防止觸媒體的氧化惡化,須供給氫系載氣 ’將觸媒體加熱至特定溫度(約16〇〇〜180CTC,如約170CTC) ’成膜後將觸媒體冷卻至不致產生問題的溫度,阻斷氫系 -69- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 1313059
載氣 成膜條件為在處理室 名本务闪持續机入虱糸載氣(氫、氬+氫、 下述的特定值: 與壓力、承受器溫度控制在 處理室内壓力:約(M〜10Pa,如lpa 承受器溫度 :35〇t 氫系載氣/Μ里(混合氣體時,氫為80〜90摩爾%)
:100-200 SCCM 此外:虱化矽膜在以下條件下形成5〇〜2〇〇 厚。 、氫作為載氣’並以適量比率在曱發烧(_4)内混合氨 (NH3)以形成原料氣體。 氫机量.100〜200 SCCM,SiH4流量:1〜2 SCCM,NH3
流量:3〜5 SCCM 此外,氮化矽膜在以下條件下形成5〇〜2〇〇 nm厚。 以氫作為載氣,ϋ以適量比率在甲石夕烧(siH^内混合氣 (He)稀釋氧(〇2)以形成原料氣體。
氫机里.100〜200 SCCM’ SiH4流量:1〜2 SCCM,氦稀 釋氧流量:0.1〜1 SCCM 另外,以RF電漿CVD成膜時的條件如下: 氧化石夕膜以SiH4流量:5〜1〇 SCCM,N20流量:1000 SCCM ’氣麼:50〜70 Pa,RF能:1000 w,基板溫度:35(TC形 成。 此外’氣化矽膜以SiH4流量:50〜100 SCCM,NH3流量: 200〜500 SCCM,>12流量:700〜1000 SCCM,氣壓:50-70 Pa -70- 本紙張尺度適用中國國家標準(CNS) A4规格(210X 297公釐) 1313059 A7 B7 五、發明説明(昍) ,RF旎· 1300 W,基板溫度·· 25〇。匚形成。 其次如圖1之(2)所示’藉由觸媒cvd或電漿CVD,形成 50 nm厚之摻雜如週期表Iv族元素之如1〇|7〜1〇22 at〇ms/cc ’更宜為1〇18〜1〇2〇 atoms/cc(亦可於CVD時或藉由成膜後的 植入離子摻雜)之錫的低級結晶性矽薄膜7A。但是,未必需 要換雜该錫(以下均同)。繼續形成丨〇〜3 〇 nm厚的保護及降 低反射用氧化石夕膜。 此%,使用圖5及圖ό所示的裝置,藉由上述觸媒cvd , 在下述條件下’使作為低級結晶性半導體薄膜之如摻雜錫 的低級結晶性珍薄膜汽相生長。 以觸媒CVD形成含非晶質矽之微結晶矽的膜: 以氫為載氣,以適量比率混合甲石夕烧(SiH4)及氮化錫 (SnH4)形成原料氣體。氫流量y5〇 s(:cm,sih流量: SCCM,SnH4流1 15 SCCM。此時’亦可藉由在原料 氣體之石夕烧系氣體(石夕院或乙石夕院或丙石夕烧等)内適量混 入η型磷或砷或銻等,或適量混入p型硼等,形成含任音 之π或ρ型雜質載體濃度之錫的矽薄膜。 〜 η型化時:ΡΗ3(三氫化麟)、AsH3(三氣化石申)sbH3(三氮化 P型化時:b2h6(乙硼烷) · 另外,在同一處理室内形成上述各祺時, 系載氣’將觸媒體預先加熱至特定溫度待用 在曱矽烷内以適當比率混合氨 可持續供給氫 ’並實施如下 以形成特定膜厚的氮化
-71 -
五、發明説明( 69 ) :膜,徹底排出上述原料 合T矽烷與氫稀釋氧,开,成牲广爰繼'..,、以適“匕率混 出上述原料氣體等膜厚的氧化石夕膜,徹底排 化錫,形成含特定膜厚之錫=適置比率混合甲石夕貌與氫 底排出上述原料氣體等之後, 儆 與氫稀釋氧,形成特定適畜比率混合甲石夕烧 手載m 冷卻至不致發生問題的溫度,阻斷氫 加傾斜,作為傾斜接合的絕緣膜。 心次曰 或是’以各自獨立的處理 續供給氫系載氣,將觸媒體=二:在各處理室内持 預先加熱至特定溫度待用,實 :如下的處理。移至A處理室,在甲钱内以適量比率' 。氨,形成特定膜厚的氮化石夕膜、繼續移至B處理室,在甲 ㈣内以適量比率混合氫稀釋氧,以形成氛化石夕膜。繼續 2至C處理室,以適量比率現合甲㈣與氫化錫,形成含 3錫之非曰曰貝石夕的微結晶石夕膜。繼續移至B處理室,在甲 石夕烧内以適量比率混合氫稀釋氧以形成氧化石夕膜。形成膜 後’阻斷原料氣體,將觸媒體冷卻至不致發生問題的溫度 ,_氫系載氣。此時’亦可在各處理室内持續供給氯系 載氣與各原料氣體,以形成待用狀態。 以RF電漿CVD形成低級結晶性矽薄膜的條件為,〜札: 100 SCCM,氫:⑽ SCCM,氣塵:K33x 1〇4 Pa,以能: 1〇〇 W,基板溫度:350°C。 繼續’如圖1之(3)所示,在大氣壓氮氣中,如藉由氣問 -72 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1313059 A7 B7 五、發明説明(7〇 ) 光燈(亦稱之為脈衝式氙燈)之一次或反覆數次的閃光照射 22 1,使含非晶質矽之微結晶矽薄膜7A處於熔融狀態,藉 由逐漸冷卻,以大粒徑形成厚度為5 0 nm之高晶化率的多晶 性矽薄膜7。繼續因應基板尺寸,如以步進及反覆使基板高 精度移動,閃光照射特定的基板内。 該閃光燈退火可使用上述圖7〜圖1 3的任何一種裝置,其 退火條件如下: 燈管 :氣閃光燈(20支直徑10 ππτιφ,有效弧長200 mm的 氙燈) _照射面積:以200 X 200 mm之正方形的步進及反覆方式各實 施一次閃光照射 照射能 :約20 J/cm2(相對值)
燈與玻璃基板間照射距離:50mm 施加電壓 :約2.5 kV 1/3脈寬 :1.5 msec
基板溫度 :300〜400°C 另外,於該閃光燈退火時,低級結晶性矽薄膜的表面存 在保護用氧化矽膜或氮化矽膜或氧氮化矽膜或氧化矽/氮 化矽疊層膜等時,可獲得退火時熔融之矽不致飛濺,不致 因表面張力而形成矽結晶粒(塊)的良好多晶性矽薄膜。此 外,雖亦可依需要使用降低熱線或熱線遮蔽濾色器,以 提高結晶性與減少基板損傷,不過,此時需要提高照射 能。 此外,為求降低基板溫度上昇與促進晶化,將低級結晶 -73- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
1313059 五、發明説明(71 ) A7 B7 性矽薄膜予以島狀化後,或將以保護用氧化矽膜覆蓋之低 '.及”.a BS I1 生石夕薄膜予以島狀化後,即使實施閃光燈退火,仍 可獲得良好之多晶性矽薄膜。 此外以適δ條件,於後述之閘極通道/源極/没極區域 形成後實施該閃光燈退火時,於促進晶化的同日寺,由於植 入閘極通這/源極/汲極區域之η型或ρ型載體雜質(磷、砷' 硼等)被活化,因此生產性良好。 繼續,製作將多晶性矽薄臈7作為源極 '通道及汲極區域 的 MOSTFT。 亦即’於高溫處理時’如圖2之(4)所示,以_般光刻及 蝕刻技術除去保護及降低反射用氧化矽膜,在將多晶性矽 =7予以島狀化後,為控#ljnM()STFT用之通道區域的雜 λ辰度使臨限值(Vth)最佳化,以光阻9將部作為 掩膜’藉由植入離子或摻雜離子,如以5 χ i〇n atoms。 的劑量摻雜P型雜質離子(如硼離子)丨0 ,設定丨χ 1〇π an—的受體濃度,形成將多晶性石夕薄膜了之導電型 型化的多晶性矽薄膜丨!。 繼、κ如圖2之(5)所不,為控制pM〇STFT用之通道區知 的雜質濃度使臨限值(Vth)最佳化,係以光阻12將__ 部作為掩膜’藉由植入離子或摻雜離子,如以^ X Μ at〇ms/cm2的劑量摻雜型 7 玉雑買離子(如磷離子)13,設定2 : 10 at〇ms/cc的施體澧谇,犯# μ β 又形成將多晶性矽薄膜7之導電型 予以η型化的多晶性矽薄膜丨4。 繼續,如圖3之(6、% 一 , ()斤不,精由觸媒CVD等形成閘極絕緣 ___ -74- 本纸張尺度適財國a家標準(CNS) Α4^^·χ_297公幻·
裝 訂
1313059 A7 B7 五、發明説明(72 ) 膜的氧化石夕膜(厚度為5〇 nm) 8後,如藉由供給2〜 之PH3及20 SCCM之SiH4之與上述相同的觸媒CVD法’堆積 膜厚約400 nm之作為閘極材料的摻雜磷之多晶矽膜Η。 繼續,如圊3之⑺所示,將光阻16形成特定圖案,以此 作為掩模,將摻雜碟之多㈣膜15予以圖案化成閘極形狀 :繼續,於除去光阻16後,如圖3之⑻所示,藉由觸媒cv〇 等形成厚度為20 nm的氧化石夕膜1 7。 繼續’如圖3之(9)所示,以光阻^將^仍^丁部作為掩 膜,藉由植入離子或摻雜離子,如以i χ 1〇15的 劑量摻雜η型雜質之磷離子19,設定2 χ 1〇2〇at〇ms/cc的施 體濃度,分別形成nMOSTFT之n+型源極區域2〇及汲極區域 2卜 繼續,如圖4之(1〇)所示,以光阻22將111^〇3丁1?丁部作為掩 膜,藉由植入離子或摻雜離子,如以! χ 1〇15at〇ms/cm2的 劑量摻雜P型雜質之硼離子23,設定2 χ 1〇2Qat〇ms/cc的受 體濃度’分別形成pMOSTFT之p +型源極區域24及汲極區域 25。之後,在氮中藉由約以9〇〇。〇實施約5分鐘退火使摻 雜在各區域内之雜質離子活化,設定被分別設定的雜質載 體濃度。 如上述的形成閘極、源極及汲極,不過此等亦可以上述 處理以外的方法形成。 亦即,於低溫處理時,在圖至之^)步驟後,在pM〇STFT 與nMOSTFT區域内將多晶性矽薄膜7予以島狀化。再藉由 一般光刻及蝕刻技術,以氟酸系蝕刻液除去保護及降低反 -75- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1313059 A7 --------------B7 五、發明説明(73 ) "—~ ~ ~ 射用氧化石夕膜,以CF4、SF6等電毁餘刻選擇性除去含非晶 質石夕的微結晶石夕薄膜,以有機溶劑等剝離洗淨光阻。由於 以因繼續實施閃光燈退火時之閃光照射’溫度急遽上昇之 石夕炼融與冷卻時的應力所形成之多晶性石夕薄膜上容易發生 裂缝,因此,為降低基板溫度上昇,亦需要島狀化。該燈 退火前之島狀化著眼於減少散熱,延遲矽熔融帶的冷卻以 促進結晶生長時,係降低不需要之矽熔融帶的基板溫度上 昇。 繼續,與上述同樣的,對低級結晶性矽薄膜7八實施閃光 燈退火後,除去保護及降低反射用氧化矽膜’與上述同樣 的以光阻掩膜,在pMOSTFT區域内藉由離子植入或離子摻 雜法,如以1 X 1012at〇ms/Cm2的劑量摻雜11型雜質的磷離子 ,設定2 X l〇17atoms/cc的施體濃度,在nM〇STFT區域内, 如以5 X 1011 atoms/cm2的劑量摻雜p型雜質的硼離子,設定 1 X 1017 atoms/cc的受體濃度,控制各通道區域的雜質濃度 ,將Vth予以最佳化。 繼續,藉由一般光刻技術以光阻掩膜形成各源極/汲極區 域。為nMOSTFT時,藉由離子植入或離子摻雜法,如以i X 1015 atoms/cm2的劑量掺雜η型雜質之如砷、磷離子,設定 2x 1020atoms/cc的施體濃度’為pMOSTFT時’藉由離子植 入或離子摻雜法,如以1 X 1〇13 atoms/cm2的劑量摻雜p型雜 質之如侧離子,設定2 X 1020 atoms/cc的受體濃度。 之後’藉由照射能低於使多晶性矽薄膜中之η型或p型雜 質活化之晶化處理的閃光燈退火或_素燈等红外線燈的迅 -76- 本紙張尺度適用中國國家標準(CNS) Α4规格(21〇><297公釐〉 1313059 A7 B7 五、發明説明(74 ) 速熱退火(RT A ; Rapid Thermal Anneal),以約 1000 〇C,30 秒的熱處理,實施閘極通道區域、源極及汲極區域之雜質 離子活化。之後(或雜質活化處理前)形成氧化矽膜作為閘 極絕緣膜,不過依需要係連續形成氮化矽膜與氧化矽膜。 亦即,藉由觸媒CVD法,在氫系載氣與甲矽烷内以適量比 率混合氫稀釋氧,形成厚度為40〜50 nm的氧化矽膜8,依需 要在氫系載氣與曱矽烷内以適量比率混合NH3,形成厚度 為10〜20 nm的氮化矽膜,再於上述條件下形成厚度為40〜50 nm的氧化石夕膜。 繼續,於高溫處理時,如圖4之(11)所示,藉由與上述相 同的觸媒CVD法,供給之氩系載氣均為1 50 SCCM,並供給 1〜2 SCCM之氦氣稀釋的氧,15~20 SCCM之曱矽烷下,全 面形成厚度如為50 nm的氧化矽膜26,繼續於供給1〜20 SCCM之 PH3、1~2 SCCM之氦稀釋氧、1 5〜20 SCCM之 SiH4 下,全面形成厚度如為400 nm的磷化氫矽酸酯玻璃(PSG) 膜28,供給50〜60 SCCM之NH3,15〜2 0 SCCM之曱矽烷下, 堆疊厚度如為200 nm的氮化石夕膜27。 繼續,如圖4之(12)所示,在上述絕緣膜的特定位置開設 接觸孔。亦即,藉由一般光刻及蝕刻技術,以光阻圖案形 成nMOSTFT及pMOSTFT之閉極、源極、汲極孑L ,以CF4、 SF6等電漿蝕刻鈍化用氮化矽膜,以氟酸系蝕刻液蝕刻氧化 矽膜及P S G膜,以有機溶劑等洗淨除去光阻,露出形成 nMOSTFT及pMOSTFT的閘極、源極、汲極區域。 繼續,在整個包含各接觸孔的面上,以濺射法等,在1 5 0 -77- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
線 1313059 A7 B7 五、發明説明(75 ) °C下,堆積厚度為1 μπι之含1 % Si的鋁等電極材料,將此予 以圖案化,形成pMOSTFT及nMOSTFT之各個源極、或汲極 2 9(S或D)與閘極取出電極或配線30(G),形成表面閘極型之 各MOSTFT。之後,在組成氣體中實施400°C,lh的氫化處 理及燒結處理。另外,亦可藉由觸媒CVD法供給鋁化合物 氣體(如A1C13),以形成鋁。 另外,亦可全面形成翻叙合金等財熱性金屬的滅射膜 (厚度為100〜500 nm),藉由一般光刻及蝕刻技術,形成 pMOSTFT及nMOSTFT的閘極,以取代形成上述的閘極。 另外,以下說明一種使用矽合金熔融液之液相生長法與 閃光燈退火之表面閘極型多晶性矽CMOSTFT的製法時,首 先,於上述底層保護膜形成後,以下述任一種方法,使含 有含或不含錫之非晶質矽之微結晶矽層(析出)生長(以下稱 含錫之例)後,除去其上之錫等低熔點金屬膜。 塗敷含矽之錫等低熔點金屬熔融液後,使其冷卻。 浸潰於含矽之錫等低熔點金屬熔融液内後,再取出使其 冷卻。 將含矽之錫等低熔點金屬膜予以加熱熔融後,使其冷卻。 在矽膜上形成錫等低熔點金屬膜後,使其加熱熔融及冷 卻。 在錫等低熔點金屬膜上形成矽膜後,使其加熱熔融及冷 卻。 繼續,將含有含或不含錫之非晶質矽之微結晶矽層予以 島狀化,分割成pMOSTFT部與nMOSTFT部,藉由離子植入 -78- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
1313059 A7 B7 五、發明説明(76 ) 或離子摻雜法,控制通道區域的雜質濃度,將Vth予以最佳 化(其條件準上述者P之後,藉由離子植入或離子摻雜法 ,形成PM0STTT部與nM0STFT部的源極、汲極(其條 上述者)。 繼續,以閃光燈退火實施晶化促進與離子活化(其條件準 上述者)。繼續藉由觸媒CVD形成閘極絕緣膜的氧化矽膜, 不過,依需要繼續形成氮化矽膜及氧化矽膜(其成膜條件準 上述者)。之後的處理與上述者相同。此外,使用該液相生 長法的方法如為後述之底面閘極型或雙閘極型cm〇stf丁 等時,亦同樣適用。 、以下說明-種使用㈣法之低級結晶㈣薄膜之閃光燈 退火之表面閘極型多晶性矽CM〇STF丁的製法,首先,r . 射法,成上述的底層保護膜。亦即,在整個絕緣性基^ 二於虱氣壓0.1 33〜;1.33 Pa的真空中濺射氮化矽靶子,形 虱化矽膜(厚度為50〜200 nm),在整個該氮化矽膜上,於& =·⑴〜L33 Pa的真空中渡射氧化石夕乾子,形成氧化: 膜(各度為100〜200 nm)。 繼續,於氬氣壓真空中_含〇卜】砂 錫或不含錫之㈣子’在絕緣性基板之至少tft形成區域。 上形成厚度為50㈣之如含錫或不含錫的非晶質石夕膜。 繼續,在整個該非晶質矽膜上,於氬氣壓〇133〜"” ^真空中騎氧化絲子,形成厚度為1q〜3g㈣的氣^ 另外,亦可在共通的#子上連續堆疊,以氬氣+氮氣 -79-
A7 B7 1313059 五、發明説明(77 (5〜10摩爾%)的濺射形成氮化矽膜,以 爾:㈣射形成氧化㈣,以氬氣的賤射形二:: 氬氣+氧氣(5~__的_形成氧化^ :續二:形成之含錫或不含錫之非晶質石夕薄膜予以島 =)=rsTFT部與n刪TFT部(條件準汽相生長 之後’植入離子或摻雜離子,形成問極通道、源極 、及極區域(條件準汽相生長法時)β 含錫或不含錫之非晶質石夕薄膜實施閃光燈退火 二==光燈退火’予以多晶石夕薄膜化,同時將植入離 :或b雜離子之η型或ρ型雜質予以活化,形成閘極通道、 :極、沒極區域之最適切的載體雜質濃度(閃光燈退火處理 y牛準上述者)。此外,與上述.同樣m亦可分別實施 的化之閃光燈退火或離子活化之閃光燈退火或RTA處理。 繼續’形成作為閘極絕緣膜的氧化石夕膜,不過依需要繼 續形成氮化矽膜及氧化矽膜。亦即,藉由觸媒CVD法等, 連續形成厚度為40〜50 nm的氧化矽獏、厚度為】〇〜2〇請的 II化石夕膜、及厚度為40〜50 nm的氧化石夕膜(成膜條件準上述 者)。 以後的處理與上述者相同。料,使用錢射膜的方法 ’如為後述之底面閘極型或雙閘極型CM〇STFT等時,亦同 樣適用。 另外’藉由反覆必要次數的形成上述低級結晶性石夕薄膜 與間光燈退火,由於可形成接近高晶性、高純度之單晶性 矽的大粒徑多晶石夕厚膜,因此,適用於需要CCD面性/線性 -80 本紙張尺度適用中國國家標準(CNS) A4規格(210X29^17
1313059 A7 _______B7 五、發明説明(7S ) 感測器、雙極LSI、太陽電池等厚膜的裝置。亦即,藉由第 一次閃光燈退火,形成如厚度為2〇〇〜3〇〇 nm的大粒徑多晶 矽薄膜。繼續在其上堆疊低級結晶性矽薄膜(厚度2〇〇〜3〇〇 nm)。繼續藉由第二次閃光燈退火,將底層膜作為晶種,如 堆疊形成厚度為200〜300 nm的大粒徑多晶性矽薄膜,形成 约400〜600 11爪的大粒徑多晶性矽薄膜。藉由將此種步驟反 覆必要次數,可堆疊形成μιη單位膜厚的大粒徑多晶性矽厚 膜。另外’該厚膜亦包含在本發明之「多晶性矽薄膜」的 概念内。 於此種堆疊時,底層之大粒徑多晶性梦薄膜形成以下閃 光燈退火時的結晶核(晶種),並逐漸堆疊更大粒徑的多晶 性矽薄膜,因此愈接近厚膜的表面,愈可形成接近高晶性 、高純度之單晶矽之大粒徑多晶性矽厚膜。因此’ bM〇slsi 之外,亦適用於一般之需要將厚膜表面作為主動及被動元 件區域之CCD面性/線性感測器、雙極LSI、太陽電池等厚 膜的裝置。 〔I〕另外,於上述島狀化後實施閃光燈退火時,可實施 以下(1)〜(4)之任何一項的處理。 (1)低溫處理(A)時,將附氧化矽(以下稱81〇2)/氮化矽(以 下稱SiNx)疊層膜之非晶質石夕膜予以圖案化及島狀化。以閃 光燈退火予以多晶矽化後,僅剝離以叱膜,堆疊si〇2或 膜,形成閘極絕緣膜之Si〇24Si〇2/SiNx/Si〇2疊層 膜。此時所謂之低溫處理,係指在基板上使用硼矽酸玻璃 、鋁矽酸玻璃等低畸變點玻璃及聚醯亞胺等耐熱性樹脂 -81 - I紙張尺度適用中國國家標準(CNS) A4規格(210X297«ί^ 1313059 A7 B7 五、發明説明(79 ) (以下均同)。此外’由於氮化矽獏係以電漿CVD等低溫形 成膜並非το王之Si3N4,ϋ此以SiNx表示(以下均同 (2) 低溫處理(B)時’將附Si〇2(或SiNx)膜之非晶質碎膜予 以圖案化及島狀化。以閃光燈退火f*以多晶#化後,剝離 SiCh(或 SiNx)膜’形成閘極絕緣膜 2Si〇^si〇2/siNx/Si〇2 疊層膜。 (3) 低/盤處理(C)時’將非晶質矽膜予以圖案化及島狀化後 ’實施閃光燈退火,之後’ %成閘極絕緣膜之叫或 Si〇2/SiNx/Si02疊層膜。 ⑷高溫處理⑷時’肖非晶f㈣予以圖案化及島狀化 後’實施閃光燈退火,之後,以高溫(1〇〇〇t,3〇分鐘)的 熱氧化作用多晶性矽膜表面氧化’以形成閘極絕緣膜 。此時所謂之高溫處理’係指使用石英玻璃及晶化玻璃等 耐熱性玻璃及陶瓷等(以下均同)。 〔II〕此外,於島狀化前實施閃光燈退火時,可實施以 下(1)〜(4)之任何一項的處理。 (1) 低溫處理(D)時,將附Si〇2/SiNx之非晶質矽膜實施閃 光燈退火後,予以圖案化及島狀化。之後,僅剝離肌膜 ,堆疊Si〇2或SiCVSiNx膜,形成開極絕緣膜之si〇2或
Si02/SiNx/Si024層膜。 (2) 低溫處理(E)時’將附Si〇2(或SiNx)祺之非晶質石夕膜實 施閃光燈退火後’予以圖案化及島狀化。之後,剝離s'i〇\ (或SiNx)膜’堆疊Si〇24Si〇2/SiNx/Si〇2m,分別形成閘極 絕緣膜。 -82- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 1313059 A7
(3)低溫處理(F)時,將非晶質㈣實施閃紐退火後,予 以圖案化及島狀化a後,堆疊⑽25tSi(VSiNx/Si〇2膜, 分別形成閘極絕緣膜。 ⑷高溫處理(B)時,將非晶質韻實施閃光燈退火後, 予以圖案化及島狀化,以高溫(1刚t,%分鐘)的熱氧化 作用、’使多晶㈣膜表面氧化,以形成閘極絕緣膜。 上述之〔I〕 〔 II〕的低溫處理用Si〇2均以觸媒CVD ' 電漿㈣、TE〇S系電漿CVD、低溫高壓退火(藉由在%跑 乂下I=J [合器巾’以常溫以上’基板之畸變點以下的溫度 ,使用水蒸氣之所謂次臨界水反應或超臨界水反應的熱氧 化)等形成’叫以觸媒CVD、電漿CVD等形成。高溫處理 如上所述,係以高溫熱氧化使多晶性石夕薄膜熱氧化,形成 良質?Si〇2膜及多晶性矽薄膜。因此,須先形成厚的多晶 二矽薄膜。另夕卜’因應所要求的特性,亦可於低溫處理及 高溫處理時,將閃光燈退火時之低級結晶性矽薄膜上的降 低反射及保護用絕緣膜(si02、siNX、si0N等)實施閃光燈 退火的晶化後,直接作為閘極絕緣膜使用。 如上所述,本實施形態可獲得下述⑷〜⑴的優異作用效 果。 (a)藉由在任意之pSec〜msec的短時間内實施一次咬反 覆數次之間光燈照射之閃光燈退火,將高度照射能賦予低 級結晶性料低級結晶性半導體薄膜,將其加熱成炫融或 半熔融或非熔融狀態,並冷卻,可獲得大粒徑之高載體移 動率、高品質之多晶性矽膜等多晶性或單晶性半導體薄膜 -83 -
1313059 A7 B7 五、發明説明(81 ) ,可大幅提高生產性及大幅降低成本。 (b) 由於閃光燈退火藉由組合任意數量的燈及其閃光式 放電機構,如①在整個1〇〇〇 mm X 1000 mm的大面積上實施 一次或反覆必要次數之閃光燈照射,②以電流計掃描器掃 描聚光整形成200 mm X 200 mm正方形的閃光燈照射光,依 需要以重疊掃描實施閃光燈照射,③固定聚光整形成200 mm X 200 mm正方形的閃光燈照射位置,使基板以步進及 反覆方式移動,依需要重疊掃描實施閃光燈照射,使基板 或閃光燈照射光以任意方向與速度移動,控制加熱熔融及 冷卻速度,可在極短的時間内將任意大面積之低級結晶性 矽膜等予以晶化,因此達到極高的生產性,大幅降低成 本。 (c) 藉由將閃光燈照射光聚光整形成任意線狀、長方形或 正方形或圓形實施照射,因照射強度,亦即熔融效率及生 產量提高與晶化均勻性提高,可減少載體移動率的不平 均。 (d) 在以閃光燈退火而晶化之多晶性矽等膜上堆疊低級 結晶性矽等膜,再度藉由反覆實施以該閃光燈退火而晶化 的方法,可以μπι單位之厚度堆疊形成大粒徑之高載體移動 率、高品質的多晶性矽膜等。藉此,除MOSLSI之外,亦可 形成高性能、高品質之雙極LSI、CMOS感測器、CCD面性/ 線性感測器、太陽電池等。 (e) 因應低級結晶性半導體薄膜之膜厚、玻璃等基板之耐 熱溫度、及所需之結晶粒徑(載體移動率)等,閃光燈退火 _-84-_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 1313059 A7 ____ 五、發明説明(82 ) 之波長调整(封入氣體之變更、採用熱線降低或遮蔽濾色器 放電條件之變更等)、照射強度、照射時間等控制容易, 因此,可以重現性佳之高生產性獲得高載體移動率、高品 質之多晶性矽膜等。 (f) 由於氙燈、氙水銀燈、氪燈、氪水銀燈、氙氪燈氙 氪水銀燈、金屬齒化燈等閃光燈退火燈遠比氣化氙、氟化 氪等準分子雷射退火裝置的準分子雷射振盪器廉價,且使 用壽命長,保養簡單,因此可藉由提高生產性與降低營運 成本以大幅降低成本。 (g) 主要由閃光燈與放電電路所構成之閃光燈退火裝置 與準分子雷射退火裝置比較,構造簡單,因此價格低,可 降低成本。 (h) 由於氯化氙 '氟化氪等準分子雷射退火處理係使用要 求nsec的脈衝振盪型雷射,因此其輸出穩定性有問題,且 發現有照射面之能量分布不平均、獲得之晶 平均、各―之元件特性不平均。因此,2 = 約400°C溫度,並實施5次、30次等多次準分子雷射脈衝照 射的方法,不過仍因照射不平均而造成晶化半導體膜及 TFT元件特性不平均,因生產量降低造成生產性降低,'以 致成本提高。反之,閃光燈退火係如上述(b)項可以要求 pec〜msec的脈衝全面閃光照射1〇〇〇 mm χ 1〇〇〇爪出的大面 積,因此照射面之能量分布不平均、獲得之晶化半導體膜 不平均、各MOSTFT之元件特性不平均現象少,可因高生 產量之南生產性而降低成本。
1313059 A7 B7 五、發明説明(83 ) (〇尤其是,使用降低或遮蔽熱線濾色器之強紫外線光之 閃光燈退火可在低溫(200〜400。〇下適用,因此可使用廉價 ,且大型化容易之低畸變點玻璃及耐熱性樹脂,以促使幸: 量化與降低成本。 ⑴除表面閘型之外’ |求底面開型、雙閘極型及背面閉 型MOSTFT亦可獲得高載體移動率之多晶性半導體膜或單 晶性半導體膜等,可製造使用該高性能之半導體膜之快速 、高電流密度的半導體裝置、光電裝置甚至高效率的太陽 電池等。例如,可製造石夕半導體裝置、石夕半導體積體電路 裝置、場致發射顯示器(FED)裝置、石夕_錯半導體裝置 '石夕 -鍺半導體積體電路裝置、碳切半導體裝置、碳化石夕半 導體積體電路裝置、m-Vh卜化合物半導體裝置、 III—V及II 一 VI族化合物半導體積體電路裝置、多晶性或單 晶性鑽石半導體裝置、多晶性或單晶性鑽石半導體積體電 路裝置、液晶顯示裝置、電致發光(有機/無機)顯示裝置、 發光聚合物顯示裝置、發光二極體顯示裝置、光感測器裝 置' CCD面性/線性感測器裝置、⑶奶感測器裝置、太陽 電池裝置等。 第二種f施形熊 <LCD之製造例1> 本貫把开y I係在使用尚溫處理之多晶性矽的 LCD(液晶顯示裝置)上應用本發明,以下顯示其製造例。 首先’如圖34之⑴所示,像素部及外圍電路部,於石英 玻璃、晶化玻璃等耐熱性絕緣基板61(畸變點約8〇〇〜u〇(rc 本紙張尺度適用中國國家標準(CNS) A4規格 -86- 1313059 A7 B7 五、發明説明(84 ) ,厚度為50微米〜數mm)的一個主面上,藉由上述觸媒CVD 法等,形成底層保護膜1〇〇(其省略圖式:以下均同)後,在 其上藉由上述觸媒CVD等形成低級結晶性矽薄膜67 A。再依 需要形成保護及降低反射用氧化矽膜(厚度10〜30 nm)(其省 略圖式)。 繼續,如圖34之(2)所示,在低級結晶性矽薄膜67A上實 施上述之閃光燈退火,如形成厚度為50 nm的多晶性矽薄膜 67 ° 繼續,如圖34之(3)所示,除去保護及降低反射用氧化矽 膜後,藉由一般光刻及蝕刻技術,將多晶性矽薄膜67予以 圖案化(島狀化),形成電晶體、二極體等主動元件、電阻 、電容、電感等被動元件的活性層。另外以下的處理,如 TFT製作中所述,當然其他元件的製作亦同。 繼續,為求控制多晶性矽薄膜67之各通道區域的雜質濃 度使Vth最佳化,植入或摻雜與前述同樣之硼或磷等特定雜 質離子後,如圖34之(4)所示,如藉由與上述同樣的觸媒 CVD法等,在多晶性矽薄膜67的表面形成厚度如50 nm之閘 極絕緣膜用的氧化矽膜68。以觸媒CVD法等形成閘極絕緣 膜用的氧化矽膜6 8時,基板溫度及觸媒體溫度與上述相同 ,不過氧氣流量可為1〜2 SCCM,曱矽烷氣體流量可為15〜20 SCCM,氫系載氣可為150 SCCM。 繼續,如圖35之(5)所示,閘極及閘極線材料,係如以濺 射法使Ί目组合金堆積厚度為4 0 0 n m,或如藉由在氫系載氣 150 SCCM、2〜20 SCCM之PH3及20 SCCM之曱矽烷氣體供 -87 - 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 1313059
給下之與上述相同的觸媒CVD法等,使摻雜磷之多晶矽獏 堆積厚度為400 nn^繼續藉由一般光刻及蝕刻技術,將閘 極材料層予以圖案化成閘極75及閘極線的形狀。另外,為 推雜碟之多晶石夕膜時’於除去光阻掩膜後,如在9〇(rc下, 經過60分鐘之於氧中的氧化處理,在摻雜磷之多晶矽膜75 的表面形成氧化矽膜。 繼續,如圖35之(6)所示,以光阻78,部作為 掩膜’藉由離子植入或離子摻雜法,如以1 X 1〇】5 at〇ms/cm2 的劑量摻雜η型雜質之如砷(或磷)離子79,設定2 χ 1〇2〇 atoms/cc的施體濃度,分別形成nM〇STFTin+型源極區域 80及汲極區域81 » 繼續,如圖35之(7)所示,以光阻82將nMOSTFT部作為掩 膜,藉由離子植入或離子摻雜法,如以丄χ 1〇" at〇ms/cm2 的劑量摻雜p型雜質之如硼離子83 ’設定2χ 1〇2Q at〇ms/cc 的受體濃度,分別形成pM〇STFT2p +型源極區域84及汲極 區域85。之後,在氮中藉由約以900°C實施約5分鐘退火, 使摻雜在各區域内之雜質離子活化,設定被分別設定的雜 質載體濃度。另外,為求提高切換特性,亦可在顯示區域 的nMOSTFT部内形成n-型的輕微摻雜汲極(ldd ; Lightiy Doped Drain)部。 繼續如圖35之(8)所示,藉由與上述相同的觸媒cvd法 ,供給之氫系載氣均為15〇 SCCM,並供給卜2 sccm之氦 氣稀釋氧’ i 5〜20 SCCM< SiH4下,全面形成厚度如為5〇謹 的氧化矽膜,繼續於供給U0 SCC]V^PH3、i〜2 sccM之 _________- 88 - 本紙張尺度適用中國國家標準(CNS) A4規格(210><297公茇$----— 1313059 A7 B7 五、發明説明(86 ) 氮稀釋氧、15〜20 SCCM之SiH4下,全面形成厚度如為_ nm的磷化氫矽酸酯玻璃(psG)膜,供給5〇〜6〇 sccm之NR〕 ,1>20 SCCM之SiH4下,堆疊厚度如為2〇〇nm的氮化石夕膜 。藉由堆疊此等絕緣膜以形成層間絕緣膜86。另外,該層 間絕緣膜亦可以與上述不同的一般方法形成。 繼續,如圖36之(9)所示,在上述絕緣膜86的特定位置開 設接觸孔,在整個包含各接觸孔的面上,以濺射法等,在 1 50°C下,堆積厚度為【μιη之鋁等電極材料,將此予以圖案 化,分別形成像素部之nMOSTFT之源極87及資料線、外圍 電路部之pMOSTFT及nM〇STFT之源極88,90與汲極89,91 及配線。另外,此時亦可藉由觸媒CVD法形成铭。 繼續,以CVD法等在表面上形成氧化矽膜等層間絕緣膜 92後,在組成氣體中實施4〇(rc,3〇分鐘的氫化及燒結處理 。繼續,如圖36之(1〇)所示,於像素部之nM〇STFT的汲極 區域中,於層間絕緣膜92及86上開設接觸孔,如以真空蒸 鍍法等,全面堆積氧化銦錫(IT0 ; Indium Tin 〇xide :在銦 氧化物内摻雜錫的透明電極材料),並予以圖案化,形成連 接於像素部之nMOSTFT之汲極區域8 1的透明像素電極93 。之後,藉由熱處理(組成氣體中,20〇〜25〇。〇,i小時), 以降低接觸電阻及提高ITO透明度。 如此,可製作主動矩陣型基板及透過型的LCD。該透過 型LCD如圖3 6之(1 1)所示,採用在透明像素電極93上堆疊定 向膜94、液晶95、定向膜96、透明電極97及相對基板98的 構造。 -89 1313059 A7 B7 五、發明説明(87 ) 另外’上述步驟同樣可適用於反射型LCD的製造。圖 41(A)顯示此種反射型的LCD,圖中的101為覆蓋在粗面化 之絕緣膜92上的鋁等反射膜,並與MOSTFT的汲極連接。 逐面裝設該LCD液晶單元來製作時(適用於2吋規格以上 之中/大型液晶面板)’首先在TFT基板61與全面設置氧化銦 錫(ITO; Indium Tin Oxide)電極97的相對基板98的元件形 成面上形成t醯亞胺定向膜94 ’ 96。該聚醯亞胺定向膜藉 由滾塗、自旋式塗敷等形成50〜100 nm的厚度,並以ι8(Γ(: /2h實施硬化處理。 繼續’研磨TFT基板6 I與相對基板98,並實施光定向處 理。研磨拋光材料如棉布及人造絲織布等,其中以棉布在 拋光削(殘渣)及阻滯效果等方面較為穩定。光定向為藉由 非接觸之線型偏光紫外線照射使液晶分子定向的技術。另 外,在定向時,除研磨之外,亦可藉由使偏光或非偏光斜 射以形成高分子定向膜(此種高分子化合物如包含偶氮苯 的聚甲基丙烯酸甲酯系高分子等)。 繼績,於洗淨後,在TFT基板61上塗敷共用(c〇mm〇n)劑 。為除去研磨拋光削,實施
’在相對基板9 8上塗敷密封劑 水或異丙基乙醇(IPA)洗淨。共 丙烯、或環氧丙烯酸酯、或環 丙烯、或環氧丙嬌酷、劣搭 本纸張尺度適财S S家標準(CNS) Μ規格㈣χ297公爱)----- 1313059
繼續,在相對基板98上配置用於保持特定間隙的隔片, 使其在特定位置與TFT基板61重疊。相對基板98上之對準 標記與TFT基板61上之對準標記精確對準後,實施紫外線 照射使密封劑先行硬化,之後,再全部加熱硬化。 繼續’實施劃線切割(Scribe Break)’製成TFT基板61與 相對基板9 8重疊的一個液晶面板。 繼續’將液晶95植入兩基板61-98間的間隙内,以紫外 線黏合劑密封植入口後,實施IPA洗淨。液晶的種類不拘, 一般為使用向列液晶的高反應性枉曲向列(TN)模式。 繼續,實施加熱急冷處理,使液晶95定向。 繼續’以各向異性導電膜之熱壓接,將彈性配線連接在 TFT基板61之面板電極取出部上,繼續將偏光板貼合在相 對基板9 8上。 此外,於液晶面板單面裝設時(適用於2吋規格以下的小 型液晶面板),與上述同樣的,在TFT基板61與相對基板98 的元件形成面上形成聚醯亞胺定向膜94,96,研磨兩基板 ,並實施非接觸之線型偏光紫外線光的定向處理。 繼續,對TFT基板61與相對基板98實施切割,並以劃線 切割切割成單個,實施水或IPA洗淨。在叮丁基板61上塗敷 共用劑,在相對基板98上塗敷含隔片的密封劑,將兩基板 重疊。以後的處理與上述相同。 上述LCD中’相對基板98為濾色器(CF)基板,並將濾色 層(圖上未顯示)設置於IT0電極97下。自相對基板%上射入 的光線可被反射膜9 3有效反射,自相對基板9 8射出。 :297公釐) 1313059 A7 ____ B7 五、發明説明(S9 ) 另外’ TF丁基板61形成在TFT基板61上設置濾色器之晶片 上濾色器(QCCF)構造的tFt基板時,在相對基板98上全面 附加ιτο電極(或全面附加附黑掩膜的ΙΤ〇電極)。 為透過型LCD時,可如下製作晶片上濾色器(〇CCF)構造 與晶片上黑色(OCB)構造。 亦即,如圖36之(12)所示,磷化氫矽酸鹽玻璃/氧化矽絕 緣膜86之汲極部也開孔,形成汲極用鋁埋入層後,以特定 厚度(1〜1.5 μηι)形成將R,G,B各色顏料散佈在各部分 ^Segment)的光阻99後,藉由一般光刻技術,實施僅保留特 定位置(各像素部)的圖案化,形成各濾色器層99(R),99(g) ,99(B)(晶片上澹色器構造)。此時,亦實施汲極部的開孔 另外,不可使用非透明之陶瓷基板、低透過率之玻璃及 耐熱性樹脂基板》 、繼續,在連通於顯示用M〇STFT之汲極的接觸孔内,於 濾色器層上,以金屬圖案化形成黑掩膜層的遮光層。 例如,藉由濺射法,形成厚度為2〇〇〜25〇 nm的翻膜,覆蓋 顯示用MOSTFT,圖案化成遮光之特定形狀(晶片上黑色構 造)。 繼續,形成透明樹脂的平坦化骐92,繼續在設置於該平 坦化膜上之通孔内,將IT〇透明電極93連接於 以形成。 如此’藉由在顯示陣列部上設置濾色器99及黑掩膜蕭 ,以改善液晶顯示面板的開孔率,此外,亦可達到包含後 照光之顯示器模組的低耗電化。
1313059 A7 B7 五、發明説明(91 ) ,尤其像素部之MOSTFT主要用於控制斷開電流及確保導 通電流。因此,顯示部上藉由設置如後述之LDD構造的 MOSTFT,形成閘極一汲極間不容易產生電場的構造,可 使通道區域内之有效電場降低,以減少斷開電流,也減少 特性的變化》但是,由於也有處理上複雜,元件尺寸大, 且導通電流減少等問題,因此需要因應各個使用目的作最 適切設計。 另外,可使用的液晶,除TN液晶(主動矩陣驅動之TN模 式用的向列液晶)之外,如超扭曲向列(STN)、主從(GH ; Guest · Host)、相交換(PC)、強介電性液晶(FLC)、反強介 電性液晶(AFLC)、聚合物分散型液晶(PDLC)等各種模式用 的液晶。 < LCD的製造例2 > 繼續,顯示使用本實施形態之低溫處理之多晶性矽 MOSTFT之LCD(液晶顯示裝置)的製造例(該製造例同樣可 適用於後述之有機EL及FED的顯示部等上)。 該製造例係於上述製造例1中,使用鋁矽酸玻璃、硼矽酸 玻璃等低畸變點玻璃及聚醯亞胺等耐熱性樹脂作為基板6 1 ,同樣執行圖34之(1)及(2)的步驟。亦即,藉由觸媒CVD及 閃光燈退火在基板61上形成含(或不含)錫之多晶性矽薄膜 67 ’將其予以島狀化,形成顯示區域之nMOSTFT部與外圍 驅動電路區域的nMOSTFT部及pMOSTFT部。並同時形成二 極體、電容器、電感及電阻等區域。與上述同樣的,以後 之處理的說明雖係有關MOSTFT,不過,當然亦可同樣的 -94 - 本纸張尺度適用中國國家標準(CNS) A4規格(210 x 297公爱) 1313059 A7
1313059 A7 B7
五、發明説明(93 ) X .20 設定2 X 、汲極部 102。1!。at_:m的劑量摻雜如蝴等p型雜質83, 10 atoms/cc的受體、麄 只 85。 又’形成P+型的源極部84 繼續’如圖40之(5、斛- ' , -^ 不’以光阻82覆蓋外圍驅動電路區 域之pMOSTFT部的間权Λ πΜοςτπτ ^ Μ ^ ]極#,以光阻82覆蓋顯示區域之 nMOSTFT 之閘極;5 τ μ t LDD π[5與外圍驅動電路區域 nMOSTFT部的閘極部, 乂 在路出之顯不區域及外圍驅動區域 之nMOSTFT的源極、沒搞戸只—丄 、 , 攻極區域,稭由離子植入或離子摻雜 法士以1 X 10 at〇ms/cm2的劑量摻雜如磷、石申等η型雜質 79’设疋2 X 1〇2。at〇ms/cc的施體濃度,形成η+型的源極部 80、汲極部81。 繼續’如圖40之(6)所示,藉由電漿CVD、減壓CVD、觸 媒CVD法等,形成氧化矽膜(厚度4〇〜5〇 nm)、氮化矽膜(厚 度10〜2〇 nm)、氧化矽膜(厚度40〜50 nm)之疊層膜,作為閘 極絕緣膜68。繼續,如在1〇〇(Γ(:τ,實施1〇〜2〇秒鐘之_素 燈等的RT A處理’藉由活化添加之η或p型雜質,獲得所設 定之各個載體雜質濃度。 之後’全面形成厚度為4〇〇〜500 nm之摻入1 %石夕的铭进射 膜’藉由一般光刻及蝕刻技術,形成全部MOSTFT的閘極 75及閘極線。之後’藉由電漿cvD、觸媒CVD法等,形成 包含氧化矽膜(厚度1〇〇〜200 nm)、磷化氫矽酸酯玻璃膜 (PSG)膜(厚度200〜3 00 nm)之疊層膜的絕緣膜86。 繼續,藉由一般光刻及蝕刻技術,實施外圍驅動電路之 全部MOSTFT部之源極/汲極部及顯示用nMOSTFT部之源 -96- 本紙張尺度適用中國國家標準(CNS) A4規格(210χ 297公釐) 1313059 A7 ______ B7 五、發明説明(94 ) 極部的開孔。氮化矽膜以CL的電漿蝕刻液,氧化矽膜及磷 化氫碎酸酯破璃膜以氟酸系蝕刻液實施蝕刻處理。 繼續’如圖40之(7)所示,全面形成厚度為4〇〇〜5〇〇 nm之 摻入1 °/❶矽的鋁濺射膜,藉由一般光刻及蝕刻技術,形成外 圍驅動電路之全部MOSTFT之源極、没極88,89,90 , 9 1 ’同時形成顯示用nMOSTFT之源極87及資料線。 繼續,藉由電漿CVD、減壓CVD、觸媒CVD法等,全面 形成氧化硬膜(厚度1〇〇〜200 nm)、磷化氫矽酸酯玻璃臈 (PSG膜’厚度2〇〇〜300 nm)、氮化石夕膜(100〜3〇〇 nm),在組 成氣體中實施約400°C,1小時之氫化及燒結處理,唯省略 圖式。之後,實施顯示用nM〇STFT2汲極部接觸用孔的開 孔。 上述中,以電漿CVD法堆疊形成含較多鈍化用氫之氮化 矽膜(厚度500〜600 nm)時,藉由氮或组成氣體中之42〇。〇, 约30分鐘的氫化處理,可藉由鈍化用氮化矽膜中之氫擴散 而改善界面特性,及藉由提高多晶性矽薄膜未結合終端之 晶性改善等而提高載體移動率。另外,由於氮化矽膜將氫 封入,因此,為提高氲化處理效果,本實施形態係採以氮 化矽膜夾住多晶性矽薄膜的構造,亦即,宜形成玻璃基板/ 鈉離子阻止及保護用氮化矽膜+氧化矽膜/多晶性矽薄膜/ 問極絕緣膜(氧化石夕膜等閘極/氧化石夕膜及鈍化用氮化矽 膜(此在其他例中亦同卜此時,藉由該氫化處理,同時實 轭摻入1 %矽之鋁合金膜與源極/汲極區域之矽的燒結處理 ,以獲得歐姆接觸。 -97- 本紙張尺度適財g國家標準(CNS) A4規4(21G X 297公爱) 1313059 A7 ___B7______ 五、發明説明(95 ) 另外’ LCD採透過型時’除去像素開孔部之氧化矽膜、 磷化氫矽酸酯玻璃膜及氮化矽膜,此外,採用反射型時, 則不需要除去像素開孔部等之氧化矽膜、磷化氫矽酸酯玻 璃膜及氮化矽膜(此在上述或後述之LCD中均同)》 為透過型時,與圖36之(1〇)同樣的,以自旋式塗敷等, 全面形成厚度為2〜3 μιη之丙烯系透明樹脂平坦化膜,藉由 一般光刻及蝕刻技術’形成顯示用MOSTFT之汲極端透明 樹脂開孔後,全面形成厚度為13〇〜150 nm的ΙΤΟ濺射膜, 藉由一般光刻及蝕刻技術’形成與顯示用nMOSTFT之汲極 部接觸之ITO透明電極。繼續藉由熱處理(在組成氣體中, 200〜2 50°C,1小時),以降低接觸電阻及提高IT〇透明度。 為反射型時’以自旋式塗敷等,全面形成厚度為2〜3 之感光性樹脂膜,藉由一般光刻及蝕刻技術,至少在像素 部上形成凹凸形狀圖案,實施平坦化熱處理’以形成凹凸 反射下部。同時形成顯示用nMOSTFT之汲極部的感光性樹 月曰開孔。之後’全面形成厚度為3 〇〇〜4〇〇 nm之摻入1 %石夕的 鋁濺射膜,藉由一般光刻及蝕刻技術,除去像素部以外的 铭膜’形成與顯示用nMOSTFT之汲極連接之凹凸形狀的銘 反射部。之後’在組成氣體中實施3〇(rc,1小時的燒結處 理。 另外,上述中,於形成MOSTFT之源極、汲極後,實施 閃光燈退火時,使低級結晶性矽薄膜的膜溫度局部上昇, 以促進晶化,形成有高移動率及高品質的多晶性矽薄膜。 同時由於植入閘極通道/源極/沒極區域之填、坤、删離子等 -98-
1313059 A7 B7 五、發明説明(96 ) 被活化,因此生產性良好。 <底面閘極型或雙閘極型MOSTFT > 以下敘述配置MOSTFT之LCD中,製造包含底面閘極型、 雙閘極型以取代上述之表面閘極型之MOSTFT的一種透過 型LCD(而反射型LCD亦同)。 如圖4 1 (B)所示,在顯示部及外圍部上設有底面閘極型之 MOSTFT,或如圖41(C)所示,在顯示部及外圍部上分別設 有雙閘極型的MOSTFT。此等底面閘極型、雙閘極型 MOSTFT中,尤其是雙閘極型時,可藉由上下的閘極部提 高驅動能力,適用於快速切換及大電流驅動的大型面板等 ,此外,因應選擇性使用上或下的閘極部時,亦可作為表 面閘極型或底面閘極型來工作。 圖41(B)之底面閘極型MOSTFT,圖中之102為耐熱性鉬或 鉬钽合金等閘極,1 03為氮化矽膜,1 04為氧化矽膜,兩者 形成底面閘極絕緣膜,該閘極絕緣膜上形成有使用與表面 閘極型MOSTFT同樣之多晶性矽薄膜67的通道區域等。此 外,圖41(C)之雙閘極型MOSTFT之底面閘極部雖與底面閘 極型MOSTFT相同,不過表面閘極部係以氧化矽膜、或氧 化矽/氮化矽疊層膜或氧化矽/氮化矽/氧化矽疊層膜形成閘 極絕緣膜106,並在其上設置表面閘極75。 <底面閘極型MOSTFT的製造> 首先,在整個玻璃基板61上形成厚度為300〜400 nm的耐 熱性钥或銦组合金的濺射膜,藉由一般光刻及餘刻技術對 其實施20〜45度的錐角蝕刻,至少在TFT形成區域上形成底 -99- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1313059 A7 —— ___ B7 五、發i説明(—^Γ) —* ~ - 面閘極102,同時形成閘極線。玻璃材質的選用參照上述的 表面閘極型。 繼續,藉由電漿CVD、觸媒CVD、減壓CVD等汽相生長 法,形成閘極絕緣膜及保護膜用之氮化矽膜丨〇3及氧化矽膜 104,與含有含或不含錫之非晶質的微結晶矽薄膜67A。該 膜與上述同樣的,繼續貫施閃光燈退火,以形成含或不含 錫的多晶性矽薄膜67 〇此等汽相成膜條件參照上述的表面 閘極型。另外,設置底面閘極絕緣膜及保護膜用之氮化矽 臈的目的,在發揮阻擋玻璃基板之鈉離子的作用,不過, 為合成石英玻璃時則不需要設置。另外,與上述同樣的’ 亦可在低級結晶性矽薄膜67A上形成降低光反射及保護用 膜(乳化石夕膜專·),並貫施閃光燈退火β此外,亦可於 區域等予以島狀化後實施閃光燈退火。 繼續’與上述同樣的’藉由一般光刻及蝕刻技術,將 PMOSTFT、nMOSTFT區域予以島狀化(圖上僅顯示一個區 域:以下均同),為控制各通道區域之載體雜質濃度,將 予以最佳化,藉由離子植入或離子摻雜法,適量混入n型或 P型雜質後,繼續為求形成各M〇STFT之源極、汲極區域, 藉由離子植入或離子摻雜法適量混入η型或p型雜質。之後 ’為求活化各個雜質,係藉由RTΑ等實施退火。 以後的處理參照上述。 <雙閘極型MOSTFT的製造〉 與上述底面閘極型同樣的,分別形成底面閘極1〇2、底面 閘極線 '底面閘極絕緣膜1〇3及1〇4、含或不含錫之多晶性 -100- 本紙张尺奴財關家料(CNS^;格(21G x 297公爱)---—
裝 訂
線 1313059 A7 B7 五、發明説明(98 ) 矽薄膜67。設置底面閘極絕緣膜及保護膜用之氮化矽膜1 03 的目的,在發揮阻擋玻璃基板之鈉離子的作用,不過,為 合成石英玻璃時則不需要設置。另外,與上述同樣的,亦 可在低級結晶性矽薄膜67A上形成降低光反射及保護用膜 (氧化矽膜等),並實施閃光燈退火。此外,亦可於MOSTFT 區域等予以島狀化後實施閃光燈退火。 繼續,與上述同樣的,藉由一般光刻及蝕刻技術,將 pMOSTFT、nMOSTFT區域予以島狀化,為控制各通道區域 之載體雜質濃度,將Vth予以最佳化,藉由離子植入或離子 摻雜法,適量混入η型或p型雜質後,繼續為求形成各 MOSTFT之源極、汲極區域,藉由離子植入或離子摻雜法 適量混入η型或p型雜質。之後,為求活化各個雜質,係藉 由RTA等實施退火。 繼續形成表面閘極絕緣膜1 06用之氧化矽膜或氧化矽/氮 化矽疊層膜或氧化矽/氮化矽/氧化矽疊層膜。汽相生長條件 參照上述的表面閘極型。 之後,全面形成厚度為400〜500 nm之摻入1%矽的鋁濺射 膜,藉由一般光刻及蝕刻技術,形成全部MOSTFT之表面 閘極75及表面閘極線。之後,藉由電漿CVD、觸媒CVD法 等,形成包含氧化石夕膜(厚度1 〇〇〜200 nm)、填化氫發酸醋 玻璃(PSG)膜(厚度200〜3 00 nm)、及氮化矽膜(厚度100〜200 nm)的多層絕緣膜86。繼續,藉由一般光刻及Ί虫刻技術,實 施外圍驅動電路之全部MOSTFT的源極、汲極部與顯示部 nMOSTFT之源極的開孔。 -101 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
1313059 A7 B7 五、發明説明(99 ) 繼續,全面形成厚度為400〜500 nm之摻入1%石夕的鋁濺射 膜,藉由一般光刻及蝕刻技術,形成外圍驅動電路之全部 MOSTFT之源極及汲極的各鋁電極87,88及顯示部 η Μ Ο S T F T的紹電極8 9、源極線及配線等。之後,在組成氣 體中實施400°C,1小時之氫化及燒結處理。之後,與上述 同樣的,全面形成絕緣膜後,形成與顯示部之nMOSTFT之 汲極部連接之IT0膜等透明像素電極。 如上所述,本實施形態與上述第一種實施形態同樣的, 係藉由觸媒CVD或電漿CVD等汽相生長法與閃光燈退火, 形成LCD顯示部及外圍驅動電路部之MOSTFT的閘極通道 、源極及汲極區域,可以高載體移動率調整Vth,形成以低 電阻快速工作之多晶性矽薄膜。使用該多晶性矽薄膜構成 之表面閘極、底面閘極或雙閘極型MOSTFT的液晶顯示裝 置,可將包含高切換特性與低漏電流之LDD構造之顯示部 與高性能之驅動電路、影像信號處理電路、記憶體電路等 外圍電路構成一體化,可實現高晝質、高精密、窄管道接 口、南效率且廉價的液晶面板。 此外,由於可以低溫(300〜400°C)形成,因此可採用廉價 之容易構成大型化之低畸變點玻璃及耐熱性樹脂基板,可 降低成本。且藉由在陣列部上配置濾色器及黑掩膜,以改 善液晶顯示面板的開孔率及亮度等,不需要濾色器基板, 可藉由改善生產性等達到降低成本。 < LCD的製造例3 > 圖42〜圖44係顯示主動矩陣LCD的其他製造例。 -102- 本紙張尺度適用中國國家標準(CNS) A4规格(210X 297公釐) 1313059 五 發明説明(100) 百先’如圖42之⑴所示,在财酸玻璃、㈣酸玻璃、 石英玻璃、透明性晶化玻璃等之絕緣基板6ι的一個主面, 至少在TFT區域内以特定圖案形成光阻,將此作為掩膜, 如照射CF4電聚的F+離子,藉由反應性離子㈣(RIE)等- ^光刻及钱刻技術’在基板61上,以適切形狀及尺寸形成 數個附階差2 2 3的凹部。 階差2 2 3係構成後述之單晶性梦之圖形外延生長時的晶 ’深度d為0_01〜0.03叫’寬度…〜5_,長度(紙面 =向)為5〜10 μηι即可’底邊與側面構成的角度_ ^直角。另外,亦可在基板i的表面,為防止鈉離子等自玻 璃基板擴散’事先連續形成氮化石夕膜(厚度50〜200 nm)與氧 ^石夕膜(厚度300〜_ nm),在該氧化石夕膜内形成數個包含 適切形狀及尺寸的附階差凹部。 Γ h圖42之⑺所^ ’除去光阻後,在絕緣基板61的 一個主面,藉由觸媒CVD或電榮CVD等,在包含階差Μ 的整個面上形成厚度如為5〇 nm之含有或不含錫等W族元 素之低級結晶性石夕薄膜67A。 繼f,如圖42之(3)所示,對低級結晶性石夕薄膜67八實施 以閃先燈退火的閃光照射221 ’該退火之溶融與冷卻時,以 Ρ白差223的底邊之角為晶種,實施圖形外延生長,除凹部之 外’亦可在其橫方向的外圍部上形成單晶性石夕薄膜/此 時’亦可與前述同樣的’在低級結晶性石夕薄膜上覆蓋降低 反射及保護用絕緣膜,#已形成島狀化者實施閃光燈退火 。另外,亦可藉由反覆實施該閃光燈退火與形成低級結晶 103- 本紙張尺度it _ s @家標準(cl^4規格(21〇 χ 297@ 1313059
以形成μιη單位的單晶性半導體厚膜 性半導體薄膜堆疊,以 (以下均同)。 ,例如(100)面在基板上實施圖形外
如此,單晶性矽膜67, 延生長。此時,階差2233 不,在非晶質基板(玻璃)61上製作如上述階差223的垂直壁 ,在其上形成外延層時,如圖43(3)之隨機面方位者,如圖 43(b)的(1〇〇)面係沿著階差223的面結晶生長。此外,藉由 將上述階差形狀如圖44(a)〜(f)作各種改變,可控制生長層 的結晶方位。於製作M0S電晶體時,最常採用的為(1〇〇)面 。重要的是,階差223的剖面形狀,除底邊角部的角度(底 角)為直角之外,亦可自上端向下端朝内或朝外傾斜只要 包3谷易產生結晶生長之特定方向的面即可。階差223的 底角通常宜為直角或在90°以下,其底面角部亦可稍具曲 率。 如此,藉由閃光燈退火時之圖形外延生長,在基板61上 形成單晶性矽薄膜67後,與’上述同樣的製作將單晶性石夕 薄膜67(厚度約50 ηιτι)作為活性層之如表面閘極型。 另外’亦可使用聚醯亞胺等耐熱性樹脂基板作為基板6夏 ’另外’至少在TFT形成區域上形成包含特定形狀及尺寸 之附階差223的凹部’實施與上述相同的處理。例如,在厚 度為100 μπι之聚酿亞胺基板上沖壓包含如高度0.Q3〜 μηι,寬度5 μιη ’長度10 μηι之特定尺寸/形狀之凸部的模具 本紙張尺度適用中國國家標準(CNS) Α4规格(210X 297公釐〉 1313059
1313059 A7 ---- B7 五、發明説明(ΤόΓϊ :續圖45之(3)所示,對低級結晶性矽薄膜67Α實施 Θ光燈退火的閃光照射22卜藉由熔融與逐漸冷卻,使結晶 ,藍寶石薄膜224在晶種上異質外延生長,以形成單晶性石夕 溥膜=此時,亦可與前述同樣的,在低級結晶性矽薄骐 上覆盍降低反射及保護用絕緣琪,繼續對已島狀化者實施 門光燈退火。亦即,結晶性藍寶石薄膜224為顯示單晶矽與 良好的曰曰格整合’其形成晶種,藉由閃光燈退火,單晶性 石夕如(100)面有效在基板上實施異質外延生長。此時,形成 上述之I5白差223,纟包含其之面上形成結晶性藍寶石薄膜 224時藉由加強階差223之圖形外延生長的異質外延生長 ,可獲得更高結晶性的單晶性矽薄膜67,另外,亦可藉由 反覆實施閃練退火與形成低級結晶性半導體薄膜堆疊, 形成μιη單位的單晶性半導體厚膜。 =此,藉f閃光燈退火時之異質外延生長,在基板61上 使^晶性㈣膜67析出後’與上述同樣的製作將該單晶性 矽薄膜67(厚度約50 nm)作為活性層之如表面閘極型 MOSTFT。 如以上之說明,由於本例藉由將設置在基板61上之結晶 性藍寶石薄膜224作為晶種實施閃光燈退火,實施異質^卜: 生長,可獲得同載體移動率的單晶性矽薄膜6 7,因此可製 造内藏高性能驅動器的LCD。 & 此外,由於結晶性藍寶石薄膜224等上述物質層構成各種 原子的擴散隔離層,因此可控制雜質自玻璃基板6丨擴散。 由於該結晶性藍寶石薄膜包含阻擋鈉離子的作用’因此哕 -106- 1本紙張尺度適财S S家標準(CNS) A4規格(21G X 297公爱) -------- 1313059
膜厚包含足夠厚度時,可省略上述底層保護膜中之至少氮 化矽膜。 另外,亦可形成有構成與其相同作用之包含尖晶石結構 體、,氟化鈣、氟化勰、氟化鋇' 磷化硼、氧化釔及氧化锆 之群選出之至少一種物質層,來取代結晶性藍寶石薄膜。 第三種實施形熊 本實施形態係將本發明應用在有機或無機的電致發光 (EL)顯示裝置,如有機£1顯示裝置上者。以下顯示其構造 例與製造例。而此處係以表面閘極型M〇STF丁為例,不過如 以上所述’當然也適用底面閘極型或雙閘極型TFT。 <有機EL元件的構造例I > 如圖46(A),(B)所示,本構造例I係在玻璃等基板丄丨j上 ,藉由依據本發明’以上述方法所形成之高晶化率、大粒 徑之含有或不含錫之多晶性矽薄膜(或單晶性矽薄膜:以下 ’以多晶性矽薄膜為例作說明,不過單晶性矽薄膜亦同。) ’形成有切換用MOSTFT1與電流驅動用MOSTFT2的閘極通 道區域11 7、源極區域120及汲極區域12卜繼續在閘極絕緣 膜1 1 8上形成有閘極115、在源極及汲極區域上形成有源極 127及汲極128,13 1。MOSTFT1之汲極與MOSTFT2之閘極經 由汲極128連接,同時在與MOSTFT2之源極127之間,經由 絕緣膜136形成有電容器C,且MOSTFT2之汲極131延伸至 有機EL元件的陰極138。另外亦可在切換用]viO S TF 丁 1上开? 成LDD部,以提高切換特性。 各MOSTFT以絕緣旗130覆蓋,為在該絕緣膜上覆蓋陰極 • 107 - 本纸張尺度適用中國國家標準(CNS) Α4规格(210 X 297公釐) 1313059
’而形成有有機EL元件之如綠色有機發光層132(或藍色有 機發光層133,甚至圖上未顯示的紅色有機發光層),形成 有陽極(第一層)丨34以覆蓋該有機發光層,並全面形成有共 通的陽極(第二層)135。另外,包含CM0STFT之外圍驅動電 路、影像信號處理電路、記憶體電路等製法,參照上述之 液晶顯示裝置(以下均同)。 該構造之有機EL顯示部,其有機EL發光層連接於電流驅 動用MOSTFT2的沒極’陰極(鐘,鎮—銀等)138覆蓋在 玻璃等基板ill的面上,陽極(IT〇膜等)134,135設置於其 ρ因此構成上面發光136。此外,陰極覆蓋在 亡時,發光面積變大,此時陰極形成遮光膜,1光光線等 無法射入M〇STFT,因此不產生漏電流,饤丁特性不致惡 此外 (絡、二 比。 另外 光層之 用濾色 ,此外 法,或 製成使 EL部, 繼續 1像素料圍如圖46⑹W的形成有黑掩膜部 -虱化鉻等)140時’可防止漏光(串擾等),提高對 ,像素顯示部内採用使用綠色、藍色、红色三色發 :二使用色轉換層之方法、或在白色發光層上使 方法,均可構成有良好色彩的有機麵示裝置 金屬”色:光材料之高分子化合物的自旋式塗敷 :屬::之真空加熱蒸鍍法,亦可以良好的生產性 用哥叩長、高精度、高品質、高土 因此可降低成本(以下均同、)。純的彩色有機 ,說明該有機EL元件的製造處理,首先,如圖47之 -108- !313〇59
五、發明説明(106) (υ所不’經過上述步驟,形成包含多晶性矽薄膜之源極區 域12〇、通道區域117及汲極區域12 1後,形成閘極絕緣膜11 8 ,藉由鉬一鈕合金等濺射成膜與一般光刻及蝕刻技術,在 其上形成M0STFT1、2的閘極115,同時形成連接於 M0STFT1之閘極的閘極線。繼續藉由觸媒CVD等汽相生長 法(以下均同)形成重疊膜(氧化矽等)137後,藉由鉬—鈕合 金等濺射成膜與一般光刻及蝕刻技術,形成M〇STFT2之源 極127及接地線,繼續形成重疊膜(氧化矽/氮化矽疊層膜) 136。藉由鹵素燈等之快速加熱退火(RTA ;尺邛“
Anneal)處理(如約100(rc,3〇秒),使摻雜離子之型雜 質活化。 繼續,如圖47之(2)所示,實施河⑽订丁丨之源極/汲極部 、M0STFT2之閘極部的開孔後’如圖47之(3)所示藉由摻 入1%矽之鋁濺射成膜及一般光刻及蝕刻技術,以摻入1%矽 之鋁配線128連接M0STFT1之汲極與M0STFT2之閘極,同 時形成M0STFT1之源極肖包含連接於該電極之摻入i%矽 之鋁的源極線。繼續,形成重疊膜(氧化矽/磷化氫矽酸酯 玻璃/氮化石夕疊層膜等)13〇,實施M0STFT2之没極部的開孔 ,形成與M0STFT2之汲極部連接之發光部的陰極138。之 後實施氫化及燒結處理。 繼續’如圖47之⑷所示’形成有機發光層132等及陽極 134 , 135 。 另外,圖46(B)之元件使用熟知之發光聚合物以取代有機 發光層時’可構成主動矩陣驅動之發光聚合物顯示裝置 -109- 本紙裱尺度適用中國國家樣準(CNS) A4規格(210X297公釐) 1313059 A7 B7 五、發明説明(107) (LEPD)(以下均同)。 <有機EL元件的構造例π > 如圖48(A),(B)所示,本構造例II係在玻璃等基板U1i ’與上述構造例I同樣的’藉由依據本發明,以上述方法所 形成之高晶化率、大粒徑之含有或不含錫之多晶性矽薄膜 ’形成有切換用MOSTFTl與電流驅動用MOSTFT2的閘極通 道區域117、源極區域12〇及汲極區域121。繼續在閘極絕緣 膜11 8上形成有閘極11 5、在源極及沒極區域上形成有源極 127及汲極128,131。MOSTFT1之汲極與MOSTFT2之閘極 經由沒極128連接,同時在與MOSTFT2之汲極131之間,經 由絕緣膜136形成有電容器c,且MOSTFT2之源極127延伸 至有機EL元件的陽極144。另外亦可在切換用MOSTFT1上 形成LDD部,以提高切換特性。 各MOSTFT以絕緣膜130覆蓋,為在該絕緣膜上覆蓋陽極 ,而形成有有機EL元件之如綠色有機發光層132(或藍色有 機發光層133,甚至圖上未顯示的紅色有機發光層),形成 有陰極(第一層)141以覆蓋該有機發光層,並全面形成有共 通的陰極(第二層)142。 該構造之有機EL顯示部,其有機el發光層連接於電流驅 動用MOSTFT2的源極,形成有機EL發光層,以覆蓋在玻璃 等基板1 11之面的陽極144,形成陰極141以覆蓋該有機El 發光層’並全面形成陰極142,因此構成下面發光136。此 外’陰極覆蓋在有機EL發光層間及m〇STFT上。亦即,全 面藉由真空加熱蒸鍍法等形成如綠色發光有機El層後,以 __-110- 本紙張尺度適用中s S家標準(CNS)如規格(21() χ 297公g-----
裝 訂
線 1313059
光刻及姓刻形成綠色發光有機肛部,並予以連接同樣的 形成藍色、紅色發光有機EL部’最後藉由鎮:銀合金或鋁 •鋰合金在各部形成陰極(電子植入層)141。由於該全面還 以二形成之陰極(電子植入層)丨42密封,因此,可藉由全面 覆蓋之陰極142防止濕氣自外部侵入有機EL層間,防止不 耐濕氣之有機EL層的老化及電極氧化’促使使用壽命長、 高=質、高可靠性(這方面,圖46之構造例丨亦以陽極全面 覆蓋,因此相同)。此外,由於陰極141及142的散熱效果高 ,因此可減少因發熱造成有機獏的結構變化(熔解或再 晶化),促使使用壽命長、高品質、高可靠性。且藉此可以 良好之生產性製成咼精度、高品質的彩色有機£匕層,因此 可降低成本。 此外,各像素部外圍如圖48(C)所示的形成有黑掩膜部 (鉻、二氧化鉻等)140時,可防止漏光(串擾等),提高對比 。另外’該黑掩膜部140藉由絕緣性膜,如氧化矽膜143 (亦可與閘極絕緣膜118同時以同一材料形成)覆蓋。 繼續’說明該有機EL元件的製造處理,首先,如圖49之 (1)所示,經過上述步驟,形成包含多晶性矽薄膜之源極區 域120、通道區域π?及汲極區域121後,藉由觸媒CVD等汽 相生長法形成閘極絕緣膜丨〖8,藉由鉬—钽合金等濺射成膜 與一般光刻及蝕刻技術,在其上形成MOSTFT1、2的閘極 1 1 5 ’同時形成連接於M〇STFTi之閘極的閘極線。繼續藉 由觸媒CVD等汽相生長法形成重疊膜(氧化矽等)137後,藉 由翻一紐合金等濺射成膜與一般光刻及蝕刻技術,形成 -111 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
裝 訂
線 1313059 A7 B7 五、發明説明(1〇9) MOSTFT2之汲極13 1及Vdd線,繼續藉由觸媒CVD等汽相生 長法形成重疊膜(氧化矽/氮化矽疊層膜等)1 36。另外,藉由 鹵素燈等之快速加熱退火(RTA ; Rapid Thermal Anneal)處 理(如約1000°C,10〜3 0秒),使植入離子之載體雜質活化。 繼續,如圖49之(2)所示,藉由一般光刻及蝕刻技術實施 MOSTFT1之源極/汲極部、MOSTFT2之閘極部的開孔後, 如圖49之(3)所示,藉由摻入1%矽之鋁濺射成膜及一般光刻 及蝕刻技術,以摻入I %矽之鋁配線128連接MOSTFT1之汲 極與MOSTFT2之閘極,同時形成包含連接於MOSTFT1之源 極之摻入1 %矽之鋁的源極線。繼續,形成重疊膜(氧化矽/ 磷化氫矽酸酯玻璃/氮化矽疊層膜等)130,實施氫化及燒結 處理,之後,藉由一般光刻及蝕刻技術實施MOSTFT2之源 極部的開孔,藉由ITO等濺射及一般光刻及蝕刻技術,形成 與MOSTFT2之源極部連接之發光部的陽極144 » 繼續,如圖49之(4)所示,如上述的形成有機發光層132 等及陰極141,142。 另外,以下所述之有機EL各層之構成材料及形成方法適 用於圖4 8的範例,不過同樣亦可適用於圖46的範例。 先前之外圍驅動電路一體型之主動矩陣型有機EL顯示 裝置’像素係藉由X方向信號線與Y方向信號線來指定,該 像素中之切換用MOSTFT被開啟,在其信號保持用電容器 内保持有圖像資料。藉此電流控制用MOSTFT被開啟,自 電源線流入因應圖像資料之偏壓用電流至有機EL元件内 ,其因而發光。但是,此時,若為非晶質矽MOSTFT時’ __ -112- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐)
裝 訂
線 1313059
Vth變動,電流值容易改變,容易在畫質上引起變動。且因 載體移動率小,可快速反應之驅動電流亦受限,此外p通道 形成困難,縱使是小規模的CM0S電路亦不易構成。 反之,如上所述,依據本發明,較容易形成大面積,且 可靠性高,載體移動率亦高,可實現可構成CM〇s電路的多 晶性矽TFT。 另外’上述之綠色(G)發光有機EL層、藍色(B)發光有機 EL層、紅色(R)發光有機]^層係分別形成1〇〇〜2〇〇 的厚 度,而此等有機EL層如為低分子化合物時,係以真空加熱 热鍍法形成,為高分子化合物時係使用藉由浸潰塗敷、自 =塗敷、滾筒塗敷等塗敷法及喷墨法,排列R,G,B發光聚 合物的方法。為金屬配位時,係以真空加熱蒸鍍法形成可 昇華的材料。 有機EL層包括單層型、雙層型 '三層型等,此處以低分 子化合物之三層型為例。 單層型··陽極/雙極發光層/陰極 又層型·陽極/孔輸送層/電子輸送性發光層/陰極、或陽極 /孔輸送性發光層/電子輸送層/陰極 三層型:陽極/孔輸送層/發光層/電子輸送層/陰極、或陽極 /孔輸送性發光層/載體區塊層/電子性發光層輸 送層/陰極 在綠色發光有機EL層上使用低分子化合物時,係藉由連 續之真空加熱蒸鍍法,在與玻璃基板上之陽極(孔植入層) 之電流驅動用MOSTFT之源極部接觸之IT〇透明電極上曰形 -113- 1313059 五、發明説明(川) 成。 1) 孔輸送層宜為胺系化合 胺低聚物、芳香族第_ —烯丙基胺衍生物、烯丙 π货联第二胺等)等 2) 發光層宜為綠色發光材料 、 配位(Alq)等 工土一甲代苯胺基)鋁 3) 電子輪送層宜為]n 衍生物(TAZ)等,,’ 哇衍生物(議)'1,2,4-三唾 4) 陰極之電子植入層宜為由包含4eV以下工作函數的絲 料製成者。 乂下工作函數的材 如ίο: ι(原子比)之鎮:銀合金厚度為ι〇〜3〇_ 鋁.裡(濃度為〇.5〜1%)合金厚度為10〜30nm 此B^j· ’銀為增加座右她ro -y.. ”有機界面的黏合性,係在鎂中添加丨〜1〇 原子%,鐘為求轉定仆,# + > i 、 想疋化h在紹中添加濃度為0.5〜1〇/〇。
形成綠色像素部時,4U κη @ Μ A 以先阻將綠色像素部作為掩膜,夢 由叫氣體等電漿蝕刻,除去陰極之電子植入層的鋁:‘ 合金’連續以氧電漿蝕刻除去電子輸送層、發光層、孔輸 送層之低分子系化合物及光阻’以形成綠色像素部。此時 由於在光阻之下有鋁.鋰合金,因此亦無光阻被蝕刻的 問題。且此時’電子輸送層、發光層、孔輸送層之低分子 系化合物層面積大於孔植入層之IT〇透明電極,宜避免在以 後步驟中與全面所形成之陰極的電子植入層(鎂:銀合金) 造成電性短路。 其次,以低分子化合物形成藍色發光有機EL層時,係藉 由連續真空加熱蒸鍍,在與玻璃基板上之陽極(孔植入層) -114- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) A7 B7 1313059 五、發明説明(112) 之=::TFT之源極部接觸的1T〇透明電極上形成^ 層宜為胺系化合物(如三稀丙基胺衍生物、烯两 胺低也物、芳香族第三胺等)等 =發光層:!:為藍色發純料之D τ ν Β丨等聯苯乙烯衍生物 等 3)電子輪送層宜為噪二唾衍生物(taz)、】,2,心*** 衍生物(ΤΑΖ)等 )陰極之電子植入層宜為由包含4ev以下工 料製成者。 如: U原子比)之鎂:銀合金厚度為10〜30_ 鋁.鋰(濃度為0.5〜1%)合金厚度為1〇〜3〇nm 此時,銀為增加與有機界面的黏合性,係在鎂中添加卜ι〇 原子%,鐘為求穩定化,係在銘中添加濃度為〇m 形成藍色像素部時,以光阻將藍色像素部作為掩膜,藉 由CCI4氣體等電隸刻,除去陰極之電子植人層的u 合金,連續以氧電漿蝕刻除去電子輸送層、發光層、孔輸 送層之低分子系化合物及光阻,以形成藍色像素部。此時 ,由於在光阻之下有鋁:鋰合金,因此亦無光阻被蝕刻的 問題。且此時,電子輸送層、發光層'孔輸送層之低分子 系化合物層面積大於孔植入層之IT0透明電極,宜避免在以 後步驟中與全面所形成之陰極的電子植入層(鎂:銀合金) 造成電性短路。 此外,以低分子化合物形成紅色發光有機EL層時,係藉 由連續真空加熱蒸鍍,在與玻璃基板上之陽極(孔植入層) -115- 本纸張尺度適用中國S家標準(CNS) A4规格(210 X 297公釐)
1313059 五、發明説明(1137 之電/瓜驅動用TFT之源極部接觸的IT〇透明電極上形成。 )孔輸送.層且為胺系化合物(如三烯丙基胺衍生物、烯丙 胺低聚物、芳香族第三胺等)等 2) 發光層且為紅色發光材料之Eu (Eu(DBM)3(phen))等 3) 電子輸送層且為噁二唑衍生物(〇xd)、I,?〆·*** 衍生物(TAZ)等 ,,一 4) 陰極之電子植人層宜為由包含4ev以下工 料製成者。 如ίο: ι(原子比)之鎮:銀合金厚度為1〇〜3〇nm 鋁.鋰(濃度為0.5〜1%)合金厚度為1〇〜3〇nm 此時,銀為增加與有機界面的黏合性,係在鎮中添加㈣ 原子%’鋰為求穩定化,係在鋁中添加濃度為0.5〜1%。 形成紅色像素部時,以光阻將紅色像素部作為掩膜 由CCl4氣體等電㈣刻,除去陰極之電子植入層的紹:^ 合金,連續以氧電襞姓刻除去電子輸送層、發光層、 送層之低分子系化合物及光阻,以形成紅色像素部。此^ :於在光阻之下有鋁:鋰合金’因此亦無光阻被蝕刻的 W。且此時,電子輸送層、發光層、孔輸送層之低分 系化合物層面積大於孔植入層之IT〇透明電極宜避免: 後步驟中與全面所形成之陰極的電子植入層(鎮 造成電性短路。 艰η金) 之後,藉由真空加熱蒸鑛懸面形成共通之陰極 入層而陰極之電子植入層宜為由包含4eV以下工作函數 材料製成者。例如,10:1(原子比)之鎮··銀合金厚度為⑽ 116- 本纸银尺度適财關^#i(CNiJT4k^(210 X 297^7 1313059
外 二且…(濃度為〇.5〜1%)合金厚度為一m。此時 。:銀為增加與有機界面的黏合性,係在鎂中添加H〇原子 /。,鋰為求穩定化,係在铭中添加濃度為〇 5〜1%。另 亦可以濺射形成膜。 _第四種實施形鋇 本貫施形態係將本發明應用於電場發射型(場致發射)顯 不裝置(FED ; Field Emission Display)者。以下顯示其構造 例與製造例。而此處係以表面閘極型m〇stft為例,不過 如以上所述’當然也適用底面閉極型或雙閘極型m〇stft。 < FED之構造例1> 如圖50(A),(B)’(C)所示,本構造例丨係在玻璃等基板ηι 上,藉由依據本發明,以上述方法所形成之高晶化率、大 粒徑之含有或不含錫之多晶性矽薄膜,形成有切換用 MOSTFT1與電流驅動用MOSTFT2的閘極通道區域丨丨7、源 極區域120及汲極區域12 1。繼續在閘極絕緣膜u 8上形成有 閘極11 5、在源極及汲極區域上形成有源極i 27及汲極128 。MOSTFT1之汲極與MOSTFT2之閘極經由汲極128連接, 同時在與MOSTFT2之源極127之間,經由絕緣臈136形成有 電容器C,且]^103丁?丁2之汲極121直接延伸至FED元件的 FEC(場致發射陰極),發揮射極區域152的功能。另外亦可 在切換用MOSTFT上形成LDD部,以提高切換特性。 各MOSTFT以絕緣膜130覆蓋,在該絕緣膜上,以fec之 閘極引出電極1 50之同一材料,在同一步驟中形成有接地用 金屬遮蔽膜151,覆蓋在各MOSTFT上。FEC之包含多晶性 -117 - 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公董) 1313059 五、發明説明1 ~^7 =薄膜之射極區域152上形成有構成場致發射射極之η型多 ^夕膜153,並將絕緣膜jig , 137 , 136及13〇予以圖案化 *在mx η個之各射極上形成用於劃分的開孔,在其上面覆 蓋閘極引出電極150。 此外,相對於該FEC設有將附背面金屬155之螢光體156 作$陽極所形成之玻璃基板等基板157,並在與F£c之間保 持南度真空.。 該構造之FEC之閘極引出電極15〇的開孔τ,生長在依據 :發明所形成之多晶性矽薄膜152上之n型多晶性矽膜153 露出,其發揮釋放各個電子154之薄膜之面釋放型射極的功 能。亦即,由於射極底層之多晶性石夕薄膜152包含大粒徑 (晶粒尺寸數100 nm以上)之晶粒,因此將此作為晶種,於 其上藉由觸媒CVD等,使„型多晶性石夕膜153生長時,該η 型多晶性石夕膜153係以更大的粒徑生長,形成表面產生有: 於電子釋放的微細凹凸158者。除上述之抓 士々 遴之外,亦可作為形成 在夕晶性鑽石膜、或含有或不含氮之碳薄臈、或含有或不 含氮之碳薄膜表面之許多微細突起構造(如碳毫等 電子釋放體(射極)。 ’ 由於射極為包含薄膜之面釋放型,因此其形成容易,且 射極性能穩定,使用壽命長。 此外,由於在全部的主動元件(其中向人 a* _ 外圍驅動電路及 像素顯示部之MOSTFT與二極體)之上邻艰士 + A 丨形成有接地電位之 金屬遮蔽膜151(該金屬遮蔽膜採用盥 ^ 5丨出閘極150之同一 材料(鈮、鈦/鉬等),以相同之步驟形成時, 任步驟上較適 -118- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) A7 B7
1313059 合),因此包含以下(1),(2)項的優點,可形成高品質、高 可靠性之場致發射顯示(FED)裝置。 (1) 氣密容器内之氣體藉由自射極(場致發射陰極)153釋 放的電子,形成正離子,在絕緣層上充電,該正電荷在絕 緣層下之MOSTFT上形成不需要的反轉層,多餘的電流自 包含該反轉層之不需要的電流路徑流出,導致射極電流溢 出。但是’由於在MOSTFT上的絕緣層上形成金屬遮蔽膜 15卜以降低至接地電位,因此可防止充電,及射極電流溢 出。 (2) 螢光體156藉由自射極(場致發射陰極)153釋放之電子 的撞擊而發光’藉由該光線,MOSTFT之閘極通道内產生 電子及空穴,形成漏電流。但是,由於M〇STF丁上之絕緣 層上形成有金屬遮蔽膜1 5 1,因此可防止光線射入TFT ,不 影響TFT的工作。 繼續,說明該FED的製造處理,首先,如圖51之(丨)所示 ’經過上述步驟全面形成多晶性矽薄膜u7後,藉由一般光 刻及蝕刻技術在MOSTFT1與MOSTFT2及射極區域上實施 島狀化’藉由電漿CVD、觸媒CVD法等全面形成保護用氧 化矽膜1 59。此外,亦可於保護用氧化矽膜形成後實施島狀 化。 繼續,為控制MOSTFT1 , 2之閘極通道的雜質濃度使vth 最佳化’藉由離子植入或離子摻雜法以5 x l〇n at〇ms/cm2 的劑量全面摻雜硼離子83,設定1 x 1〇17 at〇ms/cc的受體濃 度。 _ _ -119- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
裝 訂
線 1313059 A7 B7
繼續,如圖51之(2)所示,將光阻82作為掩膜,藉由離子 植入或離子摻雜法,在M〇STFTl,2之源極/汲極部及射極 區域,以1 X 1〇15 at〇ms/cm2的劑量摻雜磷離子79 ,設定2 X 102()at〇mS/cc的施體濃度,分別形成源極區域12〇、汲極區 域121、射極區域152後,藉由一般光刻及蝕刻技術除去射 極區域的保護用氧化矽膜。另外,此時亦可在MOSTFT 1上 形成(1〜5) x 1〇丨8 atoms/cc之施體濃度的LDD區域,使切換 特性提ifj。 繼續,如圖51之(3)所示,將形成射極區域之多晶性矽薄 膜152作為曰曰種’藉由以適量比率(如i〇2〇 at〇ms/cc)混合曱 矽烷與PH3等之摻雜物之觸媒CVD或偏壓觸媒CVD等,在射 極區域上形成厚度為1〜5 μηι之表面包含微細凹凸158的η型 多晶性石夕膜1 5 3 ’同時,在其他氧化矽膜1 5 9及玻璃基板1 j j 上开> 成厚度為1〜5 μιη之η型非晶質石夕膜160。 繼續’如圖5 1之(4)所示’藉由上述之觸媒AHA處理時的 活化氫離子等’選擇性蝕刻除去非晶質矽膜16〇,蝕刻除去 氧化石夕膜1 59後,藉由觸媒CVD等形成閘極絕緣膜(氧化矽 膜)118。 繼續’如圖52之(5)所示,藉由濺射法之鉬一钽合金等的 耐熱性金屬’形成連接於MOSTFT卜2之閘極115、MOSTFT1 之閘極的閘極線,形成重疊膜(氧化矽膜等)137後,藉由鹵 素燈4之快速加熱退火(rta ; Rapid Thermal Anneal)處理 ’使摻雜之n型及p型雜質活化,於MOSTFT2之源極部開孔 後’以濺射法之鉬—鈕合金等耐熱性金屬形成MOSTFT2之 _________ -120- 本纸張尺度適用中國國豕標準(CNS) A4規格(210X297公爱) 1313059 A7 B7 五、發明説明(118) 源極及接地線。繼續藉由電聚CVD、觸媒CVD等形成重 疊膜(氧化矽/氮化矽疊層膜等)丨3 6。 繼續,如圖52之(6)所*,實施MOSTFT1之源極/没極部 及MOSTFT2之閘極部的開孔,以捧入1%石夕之紹配線128連 接MOSTFT1之汲極與M〇STFT2之閘極,同時形成 MOSTFT1之源極與連接於該源極的源極線127。之後,在 組成氣體中實施40〇t ’ 3〇分鐘的氫化及燒結處理。 繼續,如圖52之⑺料,形成重疊膜(氧化仲#化氫石夕 酸醋玻璃/氮化石夕疊層獏等)13〇後,實施GND線的開孔,如 圖52之(8)所示,以鈮蒸鍍後之蝕刻形成引出閘極150及金 屬遮蔽膜151 ’繼續將場致發射陰極部開孔,使射極153露 出,以上述之電漿或觸媒AHA處理的活化氫離子等實施洗 淨。 先前之場致發射顯示(FED)裝置大致上區分成單純矩陣 與主動矩陣驅動,場致發射電子源(Field Emitter)如自旋型 鉬射極、圓錐型矽射極、MIM隧道射極、雙矽射極、鑽石 射極、表面傳導射極等,均可在平面基板上集中射極。單 純矩陣驅動係將排列在χγ矩陣上之場致發射源陣列作為! 個像素使用,控制各像素的釋放量以實施圖像顯示。而主 動矩陣驅動係藉由控制閘控制形成在M〇STF丁之汲極部之 射極的發射電流。由於製作處理與一般矽LSI相容,因此在 場致發射顯示器外圍容易構成複雜的處理電路。但是,由 於使用石夕單晶基板’因此基板成本較高,大面積化成晶圓 尺寸以上不易。因而揭示有包含藉由減壓CVD等在陰極表 |_____-121 -
本紙張尺度剌t SS家料(CNS) A4規格(210X297公D 1313059 A7 B7 五、發明説明(119 ^成之導電性多晶㈣’與藉由mcvd等在其表 成之結晶性鑽石膜之射極的製造 ' 忐瞄况由一 。 但疋由於減墨CVD時的 易降:::’ 630 C ’無法採用低畸變點玻璃基板,因此不 易=低成本。且藉由該減⑧咖形成之多晶韻的粒徑小 八上之結晶性鑽石膜的粒徑亦小,射極特性不良。再者 鑽:反應能不足,因此不易獲得良好結晶性的 、因透明電極或H鉻等金屬陰極與導電性 f夕膜的接合性差,因此無法發揮良好的電子釋放特 反之,依據本發明所形成之大粒徑多晶性矽薄膜為,可 形成在低畸變點玻璃等基板上,與電流驅動用tft之及極 連接之射極區域的大粒徑多晶性㈣膜,以此作為晶種, 稭由觸媒CVD等,形成„型(或n+型)之大粒徑多晶性石夕膜 (或後述之多晶性鑽石膜)的射極,之後,繼續藉由觸媒A· 處理等,選擇性還原蝕刻非晶質構造之矽膜或非晶質構造 之鑽石膜(亦稱之為DLC:似鑽石碳)’在表面形成包含無數 凹凸形狀之高晶化率/大粒徑11型(或n+型)多晶矽膜或多晶 性鑽石膜的射極,因此,可形成電子釋放效率高的射極曰,曰 且汲極與射極之接合性良好,可形成高效率之射極特性。 如此’即可消除上述先前的問題(以下均同)。 此外,將一個像素顯示部之射極區域分割成數個,分別 連接切換元件之M0STFT時,即使其中—個m〇STft故=章, 其他MOSTFT仍可工作,因此,一個像素顯示部必定為電 子釋放構造,其品質及良率均高,可降低成本(以下均同) -122- 本紙⑽)A4W(2igx297公爱) 1313059 A7 I------B7 五、發明説明(12〇 ) 。且此等MOSTFT無電性開啟不良之M〇STFT的問題,電性 短路之MOSTFT以雷射修理分離為一般提高良率的對策, 由於依據本發明之上述構造可加以因應,因此品質及良率 均高,可降低成本(以下均同)。 <FED之構造例11> 如圖53(A) ’(B) ’(〇所示,本構造例Π係在玻璃等基板 11 1上,與上述構造例丨同樣的,藉由依據本發明,以上述 方法所形成之南晶化率、大粒徑之含有或不含錫之多晶性 石夕薄膜’形成有切換用M0STFT1與電流驅動用M0STFT2 的閘極通道區域117、源極區域12〇及汲極區域121。繼續在 閘極絕緣膜11 8上形成有閘極丨丨5、在源極及汲極區域上形 成有源極127及汲極128。MOSTFT1之汲極與MOSTFT2之閘 極經由汲極128連接,同時在與]^〇8丁17丁2之源極ι27之間, 經由絕緣膜136形成有電容器c,且M〇STFT2之汲極12丨直 接延伸至FED元件的FEC(場致發射陰極),發揮射極區域 152的功能。另外亦可在切換用M〇STFT1上形成部, 以提高切換特性。 各MOSTFT以絕緣膜130覆蓋,在該絕緣膜上,以FEC之 閘極引出電極150之同一材料,在同一步驟中形成有接地用 金屬遮蔽膜151,覆蓋在各河〇灯]^上。FEC之包含多晶性 矽薄膜之射極區域152上形成有構成場致發射射極in型多 晶性矽膜163,並將絕緣膜U 8, 1 37, 1 36及13〇予以圖案化 ’在m X η個之各射極上形成用於劃分的開孔,在其上面覆 . 蓋閘極引出電極150。 -123- 本紙張尺度適用中g g家料(CNS) Α4麟(210 X297公爱) 1313059 A7 B7 五、發明説明(121 此=卜相對於該FEC設有將附背面金屬155之螢光體156 作:陽極所形成之玻璃基板等基板】57,纟在與啦之間保 持南度真空。 該構造之FEC之閘極引出電極15〇的開孔下,生長在依據 =心月所形成之多晶性矽薄膜丨52上之η型多晶性矽膜1 :出’其發揮釋放各個電子154之薄膜之面釋放型射極的功 此。亦即,由於射極底層之多晶性石夕薄膜152包含大粒徑 (晶粒尺寸數⑽⑽以上)之晶粒,因此將此作為晶種,於 其上藉由觸媒CVD等,使η型多晶性矽膜163生長時,該打 型多晶性妙膜163係以更大的粒徑生長’形成表面產生有利 於電子釋放的微細凹凸168者。此外,亦可以作為形成在含 :或不含氮之碳薄膜、或含有或不含氮之碳薄膜表面之許 多微細突起構造(如碳毫微管)等的電子釋放體。 由於射極為包含薄膜之面釋放型,因此其形成容易,且 射極性能穩定,使用壽命長。 此外,由於在全部的主動元件(其中包含外圍驅動電路及 像素顯示部之MOSTFT與二極體)之上部形成有接地電位之 金屬遮蔽膜151(該金屬遮蔽膜採用與引出閘極15〇之同一 材料(鈮、鈦/鉬等),以相同之步驟形成時,在步驟上較適 合),因此與上述同樣的,在M0STFT上的絕緣層上形成金 屬遮敝膜151’以降低至接地電位’因此可防止充電,及射 極電流溢出此外’由於MOSTFT上之絕緣層上形成有金屬 遮蔽膜15卜因此可防止光線射入MOSTFT,不影響M〇STFT 的工作。因此,可形成包含高品質、高可靠性的場致發射 -124- 本纸張尺度適用中國國家標準(CNS) A4规格(210X297公爱) 1313059
顯示(FED)裝置。 繼續,課明該FED的製造處理,首先,如圖54之(1)所示 ’經過上述步驟全面形成多晶性矽薄膜n 7後,藉由一般光 刻及蝕刻技術在MOSTFT1與MOSTFT2及射極區域上實施 島狀化,藉由電漿CVD、觸媒CVD法等全面形成保護用氧 化矽膜159。此外,亦可於保護用氧化矽膜形成後實施島狀 化。 :繼續,為控制M0STFT1,2之閘極通道的雜質濃度使 最佳化,藉由離子植入或離子摻雜法以5 χ 1〇11 at〇ms/cm2 的劑量全面摻雜硼離子83,設定i χ 1〇" "〇〇15/(^的受體濃 度。 繼續,如圖54之(2)所示,將光阻82作為掩膜,藉由離子 植入或離子摻雜法,在M〇STFT1 , 2之源極/汲極部及射極 區=,以1 X 10丨5 at〇ms/cm2的劑量摻雜磷離子79,設定2 X l〇2Qat〇ms/cc的施體濃度,分別形成源極區域12〇、汲極區 域121、射極區域152後,藉由—般光刻及蝕刻技術除去射 極區域的保護用氧化矽膜。 ▲繼續’如圖54之(3)所示,將形成射極區域之多晶性石夕薄 膜152作為晶種,藉由以適量比率混合甲烷(cH4)及適切之n 型摻雜物’藉由觸媒CVD或偏壓觸媒CVD等,在射極區域 上形成表面包含微細凹凸168的n+型多晶性鑽石膜163,同 時’在其他氧切膜159及玻璃基板⑴上形成n+型非晶質 鑽石膜m。如藉由觸媒CVD等將大粒徑多晶性㈣膜⑴ 作為晶種,形成型結晶性鑽石膜的射極區域163,此時, -125- 1313059 A7 B7 五、發明説明(123) 在甲烷(CH4)内適量添轴 純3,録為瞒SbH3等),如·^㈤為膦叫、砰為肺 lxl〇21ato / )如適量添加膦叫,形成約5xl〇2〇〜 1 X 10 atoms/cc之n+型多晶性 ll ρ* 鑽石膜(厚度為 1000〜5000 nm) 1 63。此時’係在其他佴嗜 1ΙΠ; 拼雄> 蒦用氧化矽膜上形成有η+型非晶 貝鑽石膜r 1 7 0 ,該非晶質错石胺介π β、 鑽犋亦稱之為DLC膜(似鑽石 石反)〇 繼續,如圖54之⑷所示,藉由上述之觸媒aha處理時的 活化鼠離子等,選擇性㈣除去非晶質鑽石膜m,姓刻除 去氧化矽膜159後,蕻*錨财, ’、 稭由觸媒CVD寻形成閘極絕緣膜(氧化 石夕膜等)118。此時’藉由觸媒ΑΗΑ處理,以高溫氫分子/氣 原子/活化氫離子等選擇性還原㈣非晶質鑽石膜,同時選 擇性還原姓刻形成在射極區域上之η+型多晶性鑽石膜163 的非日日ΐ成刀,形成尚晶化率之η +型多晶性鑽石膜1 63。藉 由该遠擇性還原敍刻作用,形成有表面形成有無數凹凸形 狀之η+型多晶性鑽石膜之射極區域163。藉此,其他保護用 氧化矽膜上之η+型非晶質鑽石膜亦被選擇性還原蝕刻而除 去。另外,上述觸媒CVD及ΑΗΑ處理宜採連續作業方式實 施,以有助於防止污染與生產性。 繼續,如圖55之(5)所示,藉由濺射法之鉬—钽合金等的 耐熱性金屬’形成連接於MOSTFT1,2之閘極115、M0STFT1 之閘極的閘極線,形成重疊膜(氧化矽膜等}1 37後,藉由鹵 素燈·#之快速加熱退火(RTA ; Rapid Thermal Anneal)處理 ’使摻雜之η型及p型雜質活化後,於MOSTFT2之源極部開 孔後’以濺射法之鉬—钽合金等耐熱性金屬形成MOSTFT2 . ___ -126- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇X 297公爱) 1313059 A7 B7 五、發明説明(124 ) 之源極127及接地線。繼續藉由電漿CVD、觸媒CVD等形成 重疊膜(氧化矽/氮化矽疊層膜等)1 36。 繼續,如圖55之(6)所示,實施MOSTFT1之源極/汲_極部 及M〇STFT2之閘極部的開孔,以摻入1 %石夕之鋁配線128連 接MOSTFT1之汲極與MOSTFT2之閘極,同時形成 MOSTFT1之源極與連接於該源極的源極線127 〇 繼續,如·圖5 5之(7)所示,形成重疊膜(氧化石夕/磷化氫石夕 酸酯玻璃/氮化矽疊層膜等)Π0後,實施GND線的開孔,在 組成氣體中實施400°C,30分鐘的氫化及燒結處理。繼續如 圖55之(8)所示,以鈮蒸鍍後之蝕刻形成引出閘極150及金 屬遮蔽膜1 5 1,繼續將場致發射陰極部開孔,使射極163露 出,以上述觸媒AHA處理的活化氫離子等實施洗淨。亦即 ,藉由一般光刻及蝕刻技術,以氧系蝕刻液之濕式蝕刻, 除去鈦/鉬(Ti/Mo)膜或鈮(Nb)膜,以氟酸系蝕刻液之濕式蝕 刻除去氧化矽膜及PSG膜,以CF4等電漿蝕刻除去氮化矽膜 。此外,對場致發射陰極(射極)部之多晶性鑽石膜163實施 觸媒AHA處理加以洗淨,以觸媒AHA處理之高溫氫分子/ 氫原子/活化氫離子等除去附著在膜表面之微細凹凸部内 的有機污垢、水分、氧/氮/碳酸氣體等,以提高電子釋放效 率。 另外,上述於形成多晶性鑽石膜1 63時,使用之原料氣體 的含碳化合物如: 1) 曱烷、乙烷、丙烷、丁烷、等石蠟系碳化氫 2) 乙炔、丙炔系之乙炔系碳化氫 -127 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
1313059
3) 乙烯、丙烯、丁烯等烯系碳化氫 4) 丁二埤等二烯系碳化氫 5) %丙院、環T燒、環戊垸、環己料脂環式碳化氣 7=:二烯、笨、三烯經、二甲苯、萘等芳香族碳化氫 )丙酮、戊酮、二苯甲鲷等酮類 8) 甲醇、乙醇、等醇類 9) 二曱胺、三乙胺等胺類 :〇)由石墨、石碳、焦炭等炭原子組成之物質 此等亦可單獨使用一種,亦可併用兩種以上。 此外,可使用之情性氣體如氮、氮、m氣。 摻雜物可使用如包含爛m、硫續 ' 氣、砂、、石西 '鈹等化合物或單體’其摻雜量在10"atoms/ce以上即可。 實施形鹺 本實施形態係將本發明應用在光電轉換裝置的太陽電池 上者。以下顯示其製造例。 首先,如圖56之(1)所示,在不鏽鋼等金屬基板lu上, 藉由電漿CVD、觸媒CVD等形成n型低級結晶性矽膜7a(厚 度1〇〇〜2〇〇nm)。此時,在曱矽烷内適量混入1>^等11型摻雜 物,使其含1 X 1〇19〜1 X 1 020 at〇ms/cc。另外,亦可依需要 濺射高熔點金屬(鈦、鈕、鉬、鎢、此等合金,如鉬鉅合金) 或金屬矽化物(矽化鎢、矽化鉬、矽化鈦、矽化钽等)之薄 膜(厚度100〜300 nm) ’藉由CVD等形成在金屬基板或玻璃 基板上。 繼續,藉由電漿CVD、觸媒CVD等,堆疊形成丨型低級結 _ -128- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 1313059 A7 B7 126
五、發明說明( =膜舰(厚度2〜5,)。繼續,藉由電聚㈣、觸媒 :,形成P型低級結晶切膜心(厚度勝細_ 夺’夕烧内適量混入B2Hjp型摻雜物使其含“ ~1 X 10 atoms/cc。 續’如圖56之⑺所示’藉由電毅CVd、觸媒CVD等, >成厚,為50〜覆蓋用絕緣膜出(氧切膜 '氮化 矽膜、軋氮化石夕膜、氧化石夕/氮化石夕疊層膜等)。 繼續,在此狀態下,藉由閃光燈之閃光照射22ι退火,使 全部之低級結晶性石夕膜7A’ 18〇A,181A變質成多晶性石夕膜 7’ 180’ 181,同時使各膜中的雜質活化。此時,因應低級 結晶性石夕膜厚’實施長閃光照射時間(1/3脈寬=ι·5微秒以 上),反覆必要次數的閃光照射。但是,需要形成紅外線遮 蔽滤色器,言史定較高之基板溫度等之閃光照射條件 化。 繼續,如圖56之(3)所示,除去覆蓋用絕緣膜235,在組 成氣體中實施4001,1小時的氫化處理。繼續全面形成厚 度為100〜150 nm的透明電極(IT〇(氧化銦錫)、IZ〇(氧化銦 鋅)等)182,在其上使用硬掩膜,於特定區域形成厚度為 100〜150 nm的銀等梳形電極183。 另外’亦可在上述低級結晶性矽膜7a,1 80A,1 8 1A上, 藉由適量摻入錫(Sn)或其他IV族元素(鍺、鉛),使其含i X 1018〜1 X 102() atoms/cc,減少存在於多晶粒場的凌乳,使膜 應力降低。 本實施形態之太陽電池藉由依據本發明之大粒徑的多晶 -129-
1313059 發明説明 127 A7 B7 I·生矽獏,可以高移動率形成轉換效率大的光電轉換薄膜, 形成有良好表面紋理構造與内面紋理構造,因此可以形成 遮光效果高,轉換效率大的光電轉換薄膜。且除太陽電池 之外,亦可有效利用於電子照相用感光體滾筒等薄膜 轉換裝置上。 其他實施拟能 圖57係顯示一種包含:第一步驟,其係在基體上形成含 有或不含至少一種錫等Iv族元素之低級結晶性半導體薄膜 的低級結晶性半導體薄膜;第二步驟,其係執行將前述基 體加熱至其畸變點以下溫度的預備加熱處理(Pre-baking) 第v驟其係藉由在將前述基體加熱至其畸變點以下 酿度之輔助加熱狀態(Asist_baking)的閃光燈退火,以熔融 或半熔融或非熔融狀態之加熱與冷卻,促進上述低級結晶 性:導體薄膜晶化;及第四步驟,其係執行將前述晶化之 半V體薄膜至少冷卻至前述基體之畸變點以下溫度的後加 熱保持(Post-baking)之半導體薄膜之形成方法或半導體裝 置之衣造方法之此等第一〜第四步驟的程序。此等步驟亦 可反覆實施。 此時,前述預備加熱處理宜藉由電阻加熱器、鹵素燈等 加熱機構加熱至常溫以上,基體之畸變點以下溫度,如 3〇〇〜500t的溫度,處理時間宜依低級結晶性半導體薄膜成 膜條件(Ά相生長 '濺射、蒸鍍等)之膜厚及膜質、基體材 質與尺寸等予以最佳化,如在5〜2〇分鐘之間。 此外,前述輔助加熱狀態宜加熱至常溫以上,基體之畸
裝 訂
Ϊ313059 A7 ------—_____B7 五、發明説ϋ 128) ' --- 變點以下溫度,如300〜5〇〇。(:的溫度,並宜依閃光燈退火條 件j低級結晶性半導體薄膜成膜條件(汽相生長、濺射、蒸 鍍等)之膜厚及膜質、基體材質與尺寸等予以最佳化。 此外,前述後加熱保持宜在閃光燈退火後保持至少將基 體及晶化之半導體薄膜冷卻i預備加熱溫度或輔助加熱溫 度的時間,如1〜10分鐘。 圖58係顯示一種在基體i上對透過降低光反射及保護用 絕緣祺300與低級結晶性半導體薄膜7A之閃光照射光’顯 不高吸收性或高反射性,以高於基體之導熱性及導電性, 將遮光性之底層膜301形成與低級結晶性半導體薄膜7八概 等或大於的面積,在其上,依需要以電絕緣性形成透光性 或遮光性之緩衝膜302時,在其上之至少底層膜區域内形成 含有或不含至少一種錫等IV族元素之低級結晶性半導體薄 膜7A,繼續依需要在其上形成降低光反射及保護用絕緣膜 3〇〇 ’藉由基體1之適切預備加熱處理(pre_baking)、輔助加 熱狀態(Asist-baking)及後加熱保持(Post_baking)的閃光燈 退火的炫融或半熔融或非熔融狀態之加熱與冷卻,以促進 低級結晶性半導體薄膜7 A之晶化之半導體薄膜或半導體 裝置的製造方法。 此時為底面閘極TFT、背面閘極TFT、雙閘極TFT等時, 吸收透過降低光反射及保護用絕緣膜與低級結晶性半導體 薄膜之閃光照射光而加熱之高導熱性及導電性之如著色系 金屬(鉻、銅等)、高炫點金屬(鈦、组、鉬、鶴、此等合金 ’如翻一组合金等)、金屬矽化物(WSi2, MoSi2, TiSi2, TaSi2
本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) A7 B7 1313059 五、發明説明(129 ) ’ C0S1 ’ Pd2Si ’ Pt2Si ’ CrSi2,NiSi,RhSi 等),作為底層 膜301使用。此時,由於基體j溫度上昇較高,因此,石英 玻璃、晶化玻璃等高畸變點(耐熱性)玻璃及陶瓷適於作為 基體1的材料。 此外’反射透過降低光反射及保護用絕緣膜與低級結晶 性半導體薄膜之閃光照射光之高導熱性及導電性之如白色 系金屬{鋁、鋁合金(含1%矽之鋁等)、銀、鎳、鉑等丨、白 色系金屬/尚’熔點金屬疊層膜(紹/鉬等)等作為底層臈3〇1使 用。此時’由於基體1的溫度上昇較低’因此删石夕酸玻璃、 紹矽酸玻璃 '強化玻璃等低畸變點玻璃及聚醯亞胺等耐熱 性樹脂等適於作為基體1的材料’不過亦可使用石英玻璃、 晶化玻璃等高畸變點(耐熱性)玻璃及陶瓷等。 此外’雖然為防止因閃光燈退火造成與底層膜炫融之 低級結晶性半導體薄膜7 A反應而設置缓衝膜3 〇 2,不過若 是以與熔融之低級結晶性半導體薄膜7 A不產生反應的材 質形成底層膜301時,亦可省略缓衝膜。如由陽極氧化之絕 緣膜覆蓋的鋁、高熔點金屬(鉬一鈕合金等)等的底層膜, 則不需要形成新的緩衝膜3 0 2。 緩衝膜302可使用電絕緣性之氧化矽膜、氧氮化石夕膜、氮 化石夕膜、氧化石夕/氮化石夕疊層膜、氮化發/氧化石夕疊層膜、氧 化矽/氮化矽/氧化矽疊層膜等。 在基體1上使用硼矽酸玻璃、鋁矽酸玻螭等低畸變點玻璃 、熔融石英玻璃、晶化玻璃或是耐熱性樹脂等時,為防止 基體上之雜質(鈉離子等)擴散,宜使用氫化矽膜系,如氧 -132 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
裝 訂
線 1313059 五、發明説明(13〇 ) 氣化石夕膜 '氮化石夕膜、氧化石夕/氮化石夕疊層膜、氮化石夕/氧化 石夕疊層膜、.氧化梦/氮化碎/氧化發疊層膜等。 >本例中’藉由僅在底層膜區域上形成低級結晶性半導體 薄膜7A ’ gp可防止;^融石夕流&,而僅在底層膜區域上形成 多晶性或單晶性石夕薄膜。 圖59顯示一種在與含有或不含至少一種錫等以族元素之 低級結晶性半導體薄膜7八相等以上的面積,將底層膜3〇ι 圖案化成一部分線狀突出的形狀3〇 1A,本例中可使經閃光 燈退火而炼融或半溶融或非溶融狀態之前述低級結晶性半 導體薄膜7A自底層膜斯之突出形狀部分3()ia散熱以形成 結晶生長核,在任意的結晶方位使其全面晶化。 此時,由於線狀突出部分301A的散熱比其他部分大,構 成再晶化的機制(種、核),因此可全面形成任意結晶方位 之大粒徑多晶性或單晶性半導體薄膜7。 此外,閃%燈退火時之降低光反射及保護用絕緣膜3〇〇 至少為透過紫外線之電絕緣性膜,或是可使用閘極絕緣膜。 至少透過紫外線之電絕緣性膜包括:氧化矽膜、氮化矽 膜、氧氮化矽膜、氧化矽膜/氮化矽膜疊層膜、氮化矽/氧化 矽疊層膜、氧化矽/氮化矽/氧化矽疊層膜等,此外, 緣膜包括:氧化石夕膜、氮化石夕膜、氧氮化石夕膜、氧化石夕膜/ 氮化矽膜疊層膜、氮化矽/氧化矽疊層膜、氧化矽/氮化矽/ 氧化矽疊層膜等。 圖59之例中還可將降低光反射及保護用絕緣膜3〇〇作為 閘極絕緣膜使用。如藉由在氧化性環境中(空氣、氧氣、臭 _-133- 本纸張尺度適㈤丨g g家標準(CNS) A4規格(210^297公爱) 1313059 A7
1313059 A7 B7 五、發明説明(132 ) 等)高溫熱氧化,以形成氧化系絕緣膜(氧化矽膜、氧氮化 矽膜等)300,將該氧化系絕緣膜作為閘極絕緣膜或保護膜 使用。 。、 以上所述之本發明的實施形態可依據本發明的技術構想 作各種改變。 例如,上述觸媒CVD、電漿CVD等汽相生長法及閃光燈 退火之反覆次數、閃光照射時間、基板溫度等各條件可作 各種改變,使用之基板等材質亦不限定於上述者。 此外,本發明係適用於顯示部等内部電路及外圍驅動電 路及影像信號處理電路及記憶體電路等M〇STFT,不過除 此之外,亦可以本發明之多晶性半導體薄膜或單晶性半導 體薄膜形成二極體等元件之有源區域及電阻、電容、配線 、電感等被動區域。 【發明之作用效果】 如上所述,本發明係在基體上形成低級結晶性半導體薄 膜’在該低級結晶性半導體薄膜上實施閃光燈退火,藉由 炫融或半溶融或非溶融狀態之加熱與冷卻,促進前述低級 結阳性半導體薄膜之晶化,以形成多晶性或單晶性半導體 薄膜,因此包含以下之(1)〜(10)項所示的顯著作用效果。 0)藉由在任意之Msec〜msec的短時間内,實施一次或反 覆數-人之閃光燈照射之閃光燈退火’將高度照射能賦予低 級結晶性碎等低級結晶性半導體薄膜,藉由熔融或半熔融 或非炫融狀態之加熱與冷卻,更宜為逐漸冷卻,可獲得大 粒徑之兩载體移動率、高品質之多晶性矽膜等多晶性或單 -135- 1313059 A7 B7 五、發明説明(133 ) 晶性半導體薄膜,可大幅提高生產性及大幅降低成本。 (2) 由於閃光燈退火藉由組合任意數量的燈及其閃光式 放電機構’如①在整個1〇〇〇 mm X 1000 mm的大面積上實施 一次或反覆必要次數之閃光燈照射,②以電流計掃描器掃 描聚光整形成200 mm X 200 mm正方形的閃光燈照射光,依 需要以重疊掃描實施閃光燈照射,③固定聚光整形成200 mm X 200 mm正方形的閃光燈照射位置,使基板以步進及 反覆方式移動,依需要重疊掃描實施閃光燈照射,使基板 或閃光燈照射光以任意方向與速度移動,控制加熱熔融及 冷卻速度,可在極短的時間内將任意大面積之低級結晶性 矽膜等予以多晶化或單晶化,因此達到極高的生產性,大 幅降低成本。 (3) 藉由將閃光燈照射光聚光整形成任意線狀、長方形或 正方形或圓形實施照射,因照射強度,亦即熔融效率及生 產量提高與晶化均勻性提高,可減少載體移動率的不平 均。 (4) 在以閃光燈退火而晶化之多晶性矽等膜上堆疊低級 結晶性矽等膜,再度藉由反覆實施以該閃光燈退火而晶化 的方法,可以μιη單位之厚度堆疊形成大粒徑之高載體移動 率 '高品質的多晶性矽膜等。藉此,除MOSLSI之外,亦可 形成高性能、高品質之雙極LSI、CCD面性/線性感測器、 CMOS感測器、太陽電池等。 (5) 因應低級結晶性半導體薄膜之膜厚、玻璃等基板之耐 熱溫度、及所需之結晶粒徑(載體移動率)等,閃光燈退火 -136- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂
1313059 A7 --—-______ B7 ^-- 之波長調整(封入氣體之變更、採用熱線降低濾色器或敎線 遮蔽$色器、放電條件之變更等)、照射強度、照射時間等 =制各易,因此,可以高生產性獲得高載體移動率、高品 質之多晶性矽膜等。 >(6)由於氙燈、氙水銀燈、氪燈'氪水銀燈、氙氪燈、氙 氪水銀燈、金屬鹵化燈等閃光燈退火燈為,遠比氯化氙、 氟化氪等準分子雷射退火裝置的準分子雷射振盪器廉價, 且使用壽命長,保養簡單’因此可大幅降低成本。 (7) 主要由閃光燈與放電電路所構成之閃光燈退火裝置 與準分子雷射退火裝置比較,構造簡單,因此價格低,可 降低成本。 (8) 由於氣化氙、氟化氪等準分子雷射退火處理係使用要 求nsec的脈衝振盪型雷射,因此其輸出穩定性有問題,且 發現有照射面之能量分布不平均、獲得之晶化半導體膜不 平均、各TFT之元件特性不平均。因此’係採用賦予約4〇〇 C溫度,並實施5次、30次等多次準分子雷射脈衝照射的方 法’不過仍因照射不平均而造成晶化半導體膜及TFT元件 特性不平均,因生產量降低造成生產性降低,以致成本提 兩°反之’閃光燈退火係如上述(2)項可以要求gSec〜msec 的脈衝全面閃光照射1 〇〇〇 mm X 1000 mm的大面積,因此照 射面之能量分布不平均、獲得之晶化半導體膜不平均、各 TFT之元件特性不平均現象少,可因高生產量之高生產性 而降低成本。 (9) 尤其是,由於使用熱線降低濾色器或熱線遮蔽濾色器 ___ -137- 本纸張尺度適用中國國家標準(CNS)A4規格(210X297公釐)

Claims (1)

  1. A8 B8 C8 D8 1313録5从3〇2〇2號專利申請案 中文申請專利範圍替換本(98年1月) 六、申請專利範圍 1·.一種半導體裝置的製造方法,其係於基體上製造包含多 晶性或單晶性半導體薄膜之半導體裝置時,包含: 第一步驟,其係在前述基體上形成低級結晶性半導體 薄膜;及 第二步驟,其係在前述低級結晶性半導體薄膜上實施 閃光燈退火,藉由熔融或半熔融或非熔融狀態之加熱與 冷卻,以促進前述低級結晶性半導體薄膜的晶化其中 在使前述低級結晶性半導體薄膜中適量含有錫等之1V 族元素之至少一種的狀態下實施前述第二步驟。 2. 一種半導體裝置的製造方法,其係於基體上製造包含多 晶性或單晶性半導體薄膜之半導體裝置時,包含: 第一步驟,其係在前述基體上形成低級結晶性半導體 薄膜;及 第二步驟,其係在前述低級結晶性半導體薄膜上實施 閃光燈退火,藉由熔融或半熔融或非熔融狀態之加熱與 冷卻,以促進前述低級結晶性半導體薄膜的晶化,其中 於前述基體之特定元件形成預定區域内形成特定形狀 及尺寸之有階差的凹部,在包含該凹部之前述基體上, 形成含有錫等IV族元素之至少一種或不含其的前述低 級結晶性半導體薄膜後,以前述閃光燈退火,使前述階 差的底邊角部在晶種上圖形外延生長,使前述低級結晶 性半導體薄膜變質成單晶性半導體薄膜。 3. 一種半導體裝置的製造方法,其係於基體上製造包含多 晶性或單晶性半導體薄膜之半導體裝置時,包含: 74443-980121.doc 本紙浪尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 1313059 A8 B8 C8 D8 申請專利範圍 第一步驟,其係在前述基體上形成低級結晶性半導體 薄膜;及 第二步驟,其係在前述低級結晶性半導體薄膜上實施 閃光燈退火,藉由熔融或半熔融或非熔融狀態之加熱與 冷部,以促進前述低級結晶性半導體薄膜的晶化其中 於前述基體之特定元件形成預定區域内形成單結晶半 導體與晶格整合良好之結晶性藍寶石等物質層,在該物 質層上形成含有錫等1¥族元素之至少一種或不含其的 則述低級結晶性半導體薄膜後,以前述閃光燈退火,使 前述物質層在晶種上異質外延生長,使前述低級結晶性 半導體薄臈變質成單晶性半導體薄膜。 4_ 5. 一種半導體裝置的製造方法,其係於基體上製造包含多 晶性或單晶性半導體薄膜之半導體裝置時,包含: 第一步驟,其係在前述基體上形成低級結晶性半導體 薄膜;及 第二步驟,其係在前述低級結晶性半導體薄膜上實施 閃光燈退火,藉由熔融或半熔融或非熔融狀態之加熱與 冷卻,以促進前述低級結晶性半導體薄膜的晶化,其中 將前述閃光燈退火時使用之燈的照射光至少控制在紫 外線波長區域的波長,且依需要,通過熱線吸收濾波器 或熱線反射濾波器或組合熱線吸收與熱線反射之濾波 器專降低熱線渡波器或遮蔽熱線濾波器。 一種半導體裝置的製造方法,其係於基體上製造包含多 晶性或單晶性半導體薄膜之半導體裝置時,包含: 74443-980121.doc 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) 六、申請專利範圍 第一步驟,其係在前述基體上形成低級結晶性半導體 薄膜;及 第一步驟,其係在前述低級結晶性半導體薄骐上實施 門光燈火,藉由熔融或半熔融或非熔融狀態之加熱與 冷:,以促進前述低級結晶性半導體薄膜的晶化,其中 在則述低級結晶性半導體薄膜上形成保護用絕緣膜,此 =狀態下,在空氣中或大氣壓氮氣中實施前述閃光燈退 6. —種半導體裝置的製造方法,其係於基體上製造包含多 晶性或單晶性半導體薄膜之半導體裝置時,包含: 第一步驟,其係在前述基體上形成低級結晶性半導體 薄膜;及 第一步驟,其係在前述低級結晶性半導體薄膜上實施 閃光燈退火,藉由熔融或半熔融或非熔融狀態之加熱與 冷卻,以促進前述低級結晶性半導體薄膜的晶化,其中 對形成在前述基體上之前述低級結晶性半導體薄膜,或 覆蓋保護用絕緣膜,以前述低級結晶性半導體薄膜之閃 光照射實施前述閃光燈退火時,自上面或自下面或同時 自上面與下面實施前述閃光照射,惟自上面以外之情形 時,基體為可被400 nm以下波長的光線透過之透明者。 一種半導體裝置的製造方法,其係於基體上製造包含多 晶性或單晶性半導體薄膜之半導體裝置時,包含: 第一步驟,其係在前述基體上形成低級結晶性半導體 薄膜;及 74443 -980121 .doc , -J - 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐) A8 B8 08
    1313059 弟 头保在則述低級結晶性半導體薄骐 閃光燈退火,藉由忮勐十卜 、上貫施 ,、 猎由熔嘁或+熔融或非熔融狀態之加熱盥 冷部:以促進前述低級結晶性半導體薄獏的晶化,其; 在磁場及/或電場的作用下實施前述閃光燈退火。、 8. 如申請專利範圍第1至7項中任一頊之方法^ , 奢谂‘、@ Y任項之方法,其中係重覆 實施則述第一步驟與前述第二步驟。 9. 如申請專利範圍第1至7項中任_項之方法其中藉由前 述,光燈退火使前述低級結晶性半導體薄膜轉變成大 粒谷之多晶性半導體薄冑或單晶性半導體薄膜。 10. 如申請專利範圍第丨至7項中 之 項之方法,其中係將前 述第一步驟與前述第二步驟以至少此二步驟之一體化 裝置連續或依序實施。 Π.如申請專利範圍第1至7項中任—項之方法,其中於再度 實施前述閃光燈退火前,使氫或含氫氣體之電聚放電或 觸媒反應所生成之翁条任_地# @ 〇王风之虱糸活性種專對前述多晶性半導體 薄膜或單晶性半導體薄膜作用,實施前述多晶性半導體 薄膜或單晶性半導體薄膜的表面潔淨及/讀去氧化覆 膜’之後’在前述低級結晶性半導體薄膜形成後實施前 述的閃光燈退火。 12. 如申請專利範圍第!至7項中任—項之方法,其中係在減 壓氫中或減壓含氫之氣體中或真空中實施前$閃光燈 退火。 13. 如申請專利範圍第⑴項中任一項之方法,其中前述閃 光燈退火時,係將前述基體加熱至其畸變點以下的溫度 74443-980121.doc
    A8 B8 C8 D8 1313059 六、申請專利範圍 如申請專利範圍第1至7項中任一項之方法,其中藉由 大面積總括地至少照射一次閃光的全面閃光照射;閃 光照射同一區域’並一面至少掃描一次之掃描照射; 或對於閃光照射光相對性步進輸送及/或反覆輸送前述 基體’並一面至少照射一次閃光照射的步進及/或反覆 照射,實施前述閃光燈退火。 15. 如申請專利範圍第1至7項中任一項之方法,其中前述 閃光燈退火時’係使用氙燈、氙水銀燈、氪燈、氪水 銀燈、氙氪燈、氙氪水銀燈、金屬鹵化物燈等為可耐 重覆發光的燈。 16. 如申請專利範圍第1至7項中任一項之方法,其中使用 發光裝置,其包含於前述閃光燈退火時,可適切調整 流入閃光燈之放電電流之峰值及時間寬(脈寬)與燈發光 之重覆速度及頻率之閃光式放電機構與紫外線光源燈 〇 17. 如申請專利範圍第1至7項中任一項之方法,其中使用 在前述閃光燈退火之閃光燈光源裝置具有下述(丨 項中至少一項的構造: (1) 在收納燈之接地電位框體内設有反射構件,依需要 在則述反射構件的表面形成有微細凹凸; (2) 燈及反射構件收納於遮光性框體内,依需要通過熱 線減低性或熱線遮蔽性之透明構件,導引閃光照射 光; (3) 燈及反射構件收納於框體内,經反射聚光之閃光照 74443-980121.doc 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公董) 1313059
    1313059
    二氣中實施前述閃光照射。 如申晴專利範圍第6項之方法,甘士 万击其中於減壓氫氣中或減 25 Si 中或真空中實施前述閃光·昭射。 •如申請專利範圍第i至7項中任_ 級結晶性半導體薄膜包】:方法,其中前述低 曰枋 3非日日質矽膜、含微結晶矽之非 曰二矽膜、微結晶矽(含非晶質矽微結晶矽)膜含非晶 之非之多晶石夕膜、非晶質鍺膜、含微結晶錄 非1;:膜、微結晶錯(含非晶質錯微結晶錯)膜、含 ,質鍺及微結晶錯之多晶鍺膜、以SixGei—χ(ο<χ<ι) :之非晶質㈣膜、非晶f碳膜、含微結晶碳之非晶 兔膜、微結晶碳(含非晶質破微結晶碳)膜、含非晶質 ,及微結晶碳之多晶碳膜、以SixCix(o<x<i)表示之 =料膜、或以Ga為—x(G<x<1)表示之非晶質嫁 岬膜等。 26. 如申請專利範圍第⑴項中任一項之方法,其中藉由前 述多晶性或單晶性半導體薄膜形成薄膜絕緣間極型場 效電晶體之通道、源極及汲極區域、或二極體、配線、 電阻、電容或電子釋放體等。 27. 如申請專利範圍第26項之方法,其中為形成前述通道、 源極及汲極區域、二極體、電阻、電容、配線、電子釋 放體等,係將前述低級結晶性半導體薄膜予以圖案化 (島狀化)後’實施前述閃光燈退火。 28. 如申請專利範圍第丨至7項中任一項之方法,其係製造矽 半導體裝置、矽半導體積體電路裝置、矽—錯半導體裝 74443-98012丨.doc 本紙張尺度適用中國國家標準(CNS) A4规格(210 X 297公釐) 六、申請專利範圍 置、石夕-錯半導體積體電路裝置、族化 合物半導體裝置、m-v及„—VI族化合物半導體積體 電路裝置、碳切半導”置、碳切半導體積體電路 裝置、多晶性或單晶性鐵石半導體裝置、多晶性或單晶 性鑽石半導體積體電路裝置、液晶顯示裝置、有機或無 機電致發光_顯示裝置、場致發射顯示器(fed)裝置 、發光聚合物顯示裝置、發光二極體顯示裝置、(:(:1)面 性/線性感測器裝置' CM0S感測器裝置、太陽電池裝 置等的薄膜。 29. 如申β專利範圍第1至7項中任一項之方法,其中於製造 包含内部電路及外圍電路之半導體裝置、光電顯示裝置 、固體攝像裝置等時,係藉由前述多晶性或單晶性半導 體薄膜,形成構成至少一種此等電路之薄膜絕緣閘極型 場效電晶體之通道、源極及汲極區域。 30. 如申請專利範圍第29項之方法,其中分別在各色用之有 機或無機電致發光層的下層分別具有與前述薄膜絕緣 閘極型場效電晶體之没極或源極連接之陰極或陽極。 31. 如申請專利範圍第30項之方法,其中係製造包含前述薄 膜絕緣閘極型場效電晶體及二極體之主動元件上亦覆 蓋前述陰極,或在前述各色用之有機或無機電致發光層 之各層上及各層間之全面上覆蓋前述陰極或陽極的裂 置。 " 32. 如申請專利範圍第3 〇項之方法,其中係在前述各色用之 前述有機或無機電致發光層間形成黑掩膜層。 74443-980121.doc
    、申請專利範圍 1313059 33 . 的專利.&圍第29項之方法,其中場致發射顯示裝置 、+、亟係"以則述多晶性或單晶性半導體薄膜連接於 二二薄膜絕緣閑極型場效電晶體之没極,且係、以生長在 =夕曰日f生或單晶性半導體薄膜上之多晶性半導體 多晶性鑽石臈、或含氮或不含氮之碳薄膜、或含氮 3不3氮之碳薄膜表面上形成之許多微細突起造 兔毫微管)等形成。 勺申=專|&圍第3 3項之方法,其中係介以絕緣膜,在 匕含刖述薄膜、絕緣閘極型場效電晶體及二極體之主動 疋件上形成接地電位的金屬遮蔽膜。 35·如申請專利範圍第34項之方法,其中以與前述場致發射 :τ裝置之閘極引出電極之同一材料以同一步驟形成 前述金屬遮蔽膜。 36. 種半導體裝置的製造裝置,其係用於在基體上製造具 有多晶性或單晶性半導體薄膜的半導體裳置,其包含·· 第機構,其係在前述基體上形成低級結晶性半導體 薄膜;及 第二機構,其係在前述低級結晶性半導體薄臈上實施 閃光燈退火,藉由㈣或半熔融或㈣融狀態之加熱與 冷卻,以促進前述低級結晶性半導體薄膜的晶化,其中 使用在前述閃光燈.退火之閃光燈光源裝置具有下述 (1)〜(4)項中至少一項的構造: (1)在收納燈之接地電位框體内設有反射構件,依需要 在前述反射構件的表面形成有微細凹凸; -9- 74443-980121.doc 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) A8 B8 C8 D8 1313059 六、申請專利範圍 U)燈及反射構件收納於遮光性框體内,依需要通過熱 線減低收性或熱線遮蔽性之透明構件,導引閃光照 射光; (3)燈及反射構件收納於框體内,經反射聚光之閃光照 射光及朝向前方之閃光照射光係通過聚光反射鏡或 光整形器導引; (4)反射構件及框體以循環冷媒冷卻。 3又如申請專利範圍第36項之裝置’其中重覆使用前述第一 機構與前述第二機構。 38. 如申請專利範圍第36項之裝置,其中包含使前述低級結 晶性半導體薄膜中適量含有錫等之〗v族元素之至少一 種的機構。 39. 如申請專利範圍第36項之裝置,其中前述第―機構與前 述第二機構組裝於至少it!"-地·έΜ· 〇 叹僻.衣此一機構之一體化裝置内,並連 續或依序使用。 4^申請專利範圍第37項之裝置,其中包含於再度實施前 =燈退火前:對前述多晶性半導體薄膜或單晶性半 ’骐冑氫或含氫氣體之電漿放電或觸媒反應所生 活性種等作用’實施前述多晶性半導體薄膜或 早日日性半導體薄膜的表面潔 衣曲厲淨及/或除去氧化覆膜的機 稱0 41. 42. 如申請專利範圍第36項之裝置 壓含氫之氣體中或真空中實施 如申請專利範圍第36項之裝置 ’其·中係在減壓氫中或減 前述閃光燈退火。 ’其中前述閃光燈退火時 74443-980121.doc •10-
    A8 B8 C8 D8 1313059 六、申請專利範圍 ’係將則述基體加熱至其畸變點以下的溫度。 43. 如申印專利範圍第刊項之裝置,其中藉由大面積總括地 至少照射一次閃光的全面閃光照射;閃光照射同一區域 ' 至少掃描一次之掃描照射;或對於閃光照射光 相對性步進輪送及/或反覆輸送,並一面至少照射一次 問光照射的步進及/或反覆照射,實施前述閃光燈退火 Ο 44. 如申請專利範圍第36項之裝置,其中前述閃光燈退火時 ,係使用氙燈、氙水銀燈、氪燈、氪水銀燈、氙氪燈、 风氮水銀燈、金屬函化物燈等為可耐重覆發光的燈。 45. 如申明專利範圍第36項之裝置,其中將前述閃光燈退火 時使用之燈的照射光至少控制在紫外線波長區域的波 長’且依需要’通過熱線吸收濾波器或熱線反射濾波器 或組合熱線吸收與熱線反射之濾波器等降低熱線濾波 器或遮蔽熱線濾波器。 46. 如申請專利範圍第%項之裝置,其中使用發光裝置,其 包含於前述閃光燈退火時,可適切調整流入閃光燈之放 電電/“L之峰值及時間寬(脈寬)與燈發光之重覆速度及頻 率之閃光式放電機構.與紫外線光源燈。 47. 如申請專利範圍第刊項之裝置,其中將前述燈形成平行 平板形發光管,在該.發光管内配置一對或數對相對電極 且在則述相對電極間,於前述發光管外壁上設置至少 僅一對刚述相對電極的數目之觸發電極薄膜圖案或觸 發電極組裝體。 74443-980121.doc 本紙張尺度如中國國家擦準(CNS) Α4規格(加X 297公爱) 1313059 έ88 C8 --—-------I______D8 六、申請專利範圍 饥如申請專利範圍第36項之裝置,其中在4管型發光管内 配置有數對相對電極,此等相對電極間,於前述發光管 外壁上設有觸發電極薄膜圖案或觸發電極組裝體。 收如申請專利範圍第36項之裝置,其中使用數個在前述閃 光燈退火中使用的燈,將此等燈予以平面性並列,同時 將數個相互串聯連接,連接於各個電源,或是在各燈上 設置電源,或將全部的燈予以串聯連接,並連接於共通 的電源’同步觸發數個燈,使其同時發光。 50. 如申請專利範圍第36項之裝置,其中將閃光燈收納在真 空容器内,介以吸震材料,將反射構件安裝在前述真空 容器。 二 51. 如申請專利範圍第36項之裝置,其中在前述低級結晶性 半導體薄膜上形成保護用絕緣膜,此種狀態下,在空氣 中或大氣壓氮氣中實施前述閃光燈退火。 52. 如申請專利範圍第36項之裝置,其中對形成在前述基體 上之前述低級結晶性半導體薄膜,或覆蓋保護用絕緣膜 ,以前述低級結晶性半導體薄臈之閃光照射實施前述閃 光燈退火時’自上面或自下面或同時自上面與下面實施 前述閃光照射,惟自上面以外之情形時,基體為可被4〇〇 nm以下波長的光線透過之透明者。 53. 如申請專利範圍第5 2項之裝置’其中前述低級結晶性半 導體薄膜或覆蓋前述保護用絕緣膜之前述低級結晶性 半導體薄膜係經島狀化者。 54·如申請專利範圍第52項之裝置,其中於大氣壓氮氣中或 74443-980121.doc 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 1313059 六、申請專利範 ABCD 圍 空氣中實施前述閃光照射。 55·如申請專利範圍第52項之裝置,其中於減壓氫氣中或減 壓含氫之氣體中或真空中實施前述閃光照射。 56’如申請專利範圍第36項之裝置,其中在磁場及/或電場 的作用下實施前述閃光燈退火。 57·如申請專利範圍第36項之裝置,其中前述低級結晶性半 導體薄膜包含非晶質矽膜、含微結晶矽之非晶質矽膜、 微結晶石夕(含非晶質矽微結晶矽)膜、含非晶質矽及微結 晶带多晶矽膜、非晶質鍺臈、含微結晶鍺非晶質鍺膜、 微結晶錯(含非晶質鍺微結晶鍺)膜、含非晶質鍺及微結 晶鍺多晶鍺膜、以SixGei — x(〇< x< 1)表示之非晶質矽鍺 膜、非晶質碳膜、含微結晶碳非晶質碳膜、微結晶碳( 含非晶質碳微結晶碳)膜、含非晶質碳及微結晶碳多晶 石厌膜、以SixC丨-χ(〇 < χ < 1)表示之非晶質矽碳膜、或以 GaxAshJOCxsi)表示之非晶質鎵砷膜等。 58. 如申请專利範圍第3 6項之裝置其中藉由前述多晶性或 單晶性半導體薄膜形成薄膜絕緣閘極型場效電晶體之 通道、源極及汲極區域、或二極體、配線、電阻、電容 或電子釋放體等。 59. 如申請專利範圍第58項之裝置,其中為形成前述通道、 源極及汲極區域、二極體、電阻、電容、配線、電子釋 放體等,係將前述低級結晶性半導體薄膜予以圖案化 (島狀化)後,實施前述閃光燈退火。 60. 如申請專利範圍第3 6項之裝置,其係製造矽半導體裝置 74443-980121.doc 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) ----- Αδ Β8 C8 D8 1313059 、矽半導體積體電路裝置、矽一鍺半導體襞置、矽—鍺 半導體積體電路裝置、III—V及n_vu^化合物半導體 裝置、III— V及II 一 VI族化合物半導體積體電路裴置、 碳化矽半導體裝置、碳化矽半導體積體電路裝置多晶 性或單晶性鑽石半導體裝置、多晶性或單晶性鑽石半導 體積體電路裝置、液晶顯示裝置、有機或無機電致發光 (EL)顯示裝置、場致發射顯示器(FED)裝置、發光聚合 物顯示裝置、發光二極體顯示裝置、CCD面性/線性感測 器裝置、COMS感測器裝置、太陽電池裝置等的薄膜^ 61. 如申請專利範圍第60項之裝置,其中於製造包含内部電 路及外圍電路之半導體裝置、光電顯示裝置、固體攝像 裝置等時,係藉由前述多晶性或單晶性半導體薄膜,形 成構成至少一種此等電路之薄膜絕緣閘極型場效電晶 體之通道、源極及汲極區域。 62. 如申請專利範圍第61項之裝置,其中製造分別在各色用 之有機或無機電致發光層的下層分別具有與前述薄膜 絕緣閘極型場效電晶體之汲極或源極連接之陰極或陽 極的裝置。 63. 如申請專利範圍第62項之裝置,其中係製造包含前述薄 膜絕緣閘極型場效電晶體及二極體之主動元件上亦覆 蓋前述陰極,或在前述各色用之有機或無機電致發光層 之各層上及各層間之全面上覆蓋前述陰極或陽極的裝 置。 64. 如申請專利範圍第62項之裝置,其中係在前述各色用之 74443-980121.doc 14. ABCD 1313059 六、申請專利範園 則述有機或無機電致發光層間形成黑掩臈層。 65·如申請專利範圍第61項之裝置,其中場致發射顯示裝置 的射極係介以前述多晶性或單晶性半導體薄膜連接於 =述薄膜絕緣閘極型場效電晶體之汲極,且係j長在 *述多b曰性或單晶性半導體薄膜上之n型多晶性半導體 ^或多晶性鑽石膜、或含氮或不含氮之碳薄膜、或含氮 或不含氮之碳薄膜表面上形成之許多微細突起構造(如 碳毫微管)等》 66.如申請專利範圍第㈣之裝置,其中在包含前述薄膜絕 緣閘極型場效電晶體及二極體之主動元件上形成接地 電位的金屬遮蔽膜。 67·如申請專利範圍第66項之裝置,其中以與前述場致發射 顯不裝置之閘極引出電極之同一材料,以同一步驟形成 前述金屬遮蔽膜。 68·—種光電裝置,其在各色用之有機或無機電致發光層的 下層,分別具有與包含申請專利範圍第1至7項之任一項 之夕日日性或單晶性半導體薄膜之薄膜絕緣閘極型場效 電晶體之汲極或源極連接的陰極或陽極,包含前述薄膜 絕緣閘極型場效電晶體及二極體之主動元件上亦被前 述陰極覆蓋,或前述各色用有機或無機電致發光層之各 層上及各層間全面上覆蓋有前述陰極或陽極。 69.如申請專利範圍第68項之光電裝置,其中在前述各色用 之前述有機或無機電致發光層間形成有黑掩膜層。 7〇· 一種光電裝置,其場致發射顯示器(FED)裝置之射極係 15- 74443-980121.doc 本紙張尺度適财S Η家標準(CNS) Α4·(21()χ297 ) ABCD 1313059 六、申請專利範圍 介以前述多晶性或單晶性半導體薄膜,連接於包含申請 專利範圍第1至7項之任一項之多晶性或單晶性半導體 薄膜之薄膜絕緣閘極型場效電晶體的汲極,且係以生長 在月!述夕曰曰性或單晶性半導體薄膜上之η型多晶性半導 體膜或多晶性鑽石膜或含氮或不含氮之碳薄膜或含氮 或不含氮之碳薄膜表面上形成之許多微細突起構造(如 碳毫微管)等所形成。 71·如申請專利範圍第7〇項之光電裝置,其中係介以⑽^ ,在包含前述薄膜絕緣閘極型場效電晶體及二極體之主 動元件上形成接地電位的金屬遮蔽膜。 72. 如1請專利範圍第71項之光電裝置,其中前述遮蔽膜以 與前述場致發射顯示裝置之閘極引出電極之同一材料 ’以同一步驟形成。 73. —種半導體薄膜的形成方法,其包含: 第一步驟,其係在基體上形成含有錫等IV族元素的至 少一種或不含其之低級結晶性半導體薄膜; 第二步驟,其係執行將前述基體加熱至其畸變點以下 溫度的預備加熱處理(Pre_baking); 第三步驟,其係藉由將前述基體加熱至其畸變點以下 溫度之辅助加熱狀態(Asist_baking)下的閃光燈退火以 熔融或半熔融或非熔融狀態之加熱與冷卻,以促進前述 低級結晶性半導體薄獏的晶化;及 第四步驟,其係將前述晶化之半導體薄膜予以後加熱 保持(Post-baking),至少冷卻至前述基體之畸變點以下 74443-980121.doc -16- 1313059 六、申請專利範園 溫度。 74. 一種半導體裝置的製造方法,其包含·· 第一步驟’其係在基體上形成含有錫等IV族元素的至 少一種或不含其之低級結晶性半導體薄膜; 第二步驟’其係執行將前述基體加熱至其畸變點以下 溫度的預備加熱處理(Pre_baking); 第三步驟’其係藉由將前述基體加熱至其畸變點以下 溫度之輔助加熱狀態(Asist_baking)下的閃光燈退火,以 溶融或半炼融或非熔融狀態之加熱與冷卻,以促進前述 低級結晶性半導體薄膜的晶化;及 第四步驟,其係將前述晶化之半導體薄膜予以後加熱 保持(Post-baking) ’至少冷卻至前述基體之畸變點以下 温度。 %如申請專利範圍第73或74項之方法,其中係重覆實施前 述第步驟與前述第一步驟與前述第三步驟與前述第 四步驟。 76·如申請專利範圍第73或74項之方法,其中前述基體適切 之前述預備加熱處理(Pre-baking)、前述輔助加熱狀態 (Asist-baking)及前述後加熱保持(p〇st baking)之閃光燈 退火的照射時間(1/3脈寬)係在^叩“以上,更宜^ 0.5〜3 msec 〇 ^ -種光電裝置的製造方法,其係分別在像素顯示部之主 動元件及被動元件㈣,與外圍電路部之主動元件 動元件區域,將含有錫等至少—種^族元素或不含其之
    本紙張尺度適用中國國家標準(CMS) Α4规格(210X 297公釐) A8 B8 C8 D8 1313059 六、申請專利範園 低級結晶性半導體薄膜之被照射面積及形狀予以作同 等化之圖案化後’實施前述基體之適切預備加熱處理 (Pre-baking)、輔助加熱狀態(Asist_baking)及後加熱保 持(Post-baking)的閃光燈退火,並依需要再將各個晶化 區域予以圖案化成特定面積及尺寸。 78. —種光電裝置的製造方法,其係在外圍電路部之各個主 動凡件及被動元件區域,而非像素顯示部之主動元件及 被動元件區域,將含有錫等IV族元素的至少一種或不含 其之低級結晶性半導體薄膜之被照射面積及形狀予以 作放大®案化後,實施前述基體之適切預備加熱處理 (Pre baking)、輔助加熱狀態(Asist_baking)及後加熱保 持(Post-baking)的閃光燈退火,並依需要再將各個晶化 區域予以圖案化成特定面積及尺寸。 79. —種半導體基板或半導體裝置的製造方法,其係在基體 之特定元件形成預定區域内形成特定形狀及尺寸之有 階差的凹部,或是在基體上形成第丨氧化性絕緣膜與第上 氮化性絕緣膜與第2氧化性絕緣膜之疊層膜,或第1氧化 性絕緣膜與第1氮化性絕緣膜與第2氧化性絕緣膜與第2 氮化性絕緣膜之疊層膜,在前者之第2氧化性絕緣膜或 後者之第2氮化性絕緣膜之特定元件形成預定區域内形 成特定形狀及尺寸之有階差的凹部,在包含該凹部之前 述基體上堆疊含有錫等1¥族元素的至少一種或不含其 之低級結晶性半導體薄膜,及依需要堆疊降低光反射及 保護用絕緣膜,藉由將前述基體作適切預備加熱處理 74443-980121.doc 本紙張尺度適用中國國家標準(CNS) A4规格(210X297公釐) 1313059
    (Pre-baking)、輔助加熱狀態⑽叫也咕及後加奴保 持(Post-baking)的閃光燈退火,使前述階差之底邊角部 在晶種上圖形外延生長’至少在凹部内形成單晶性半導 體薄膜’對該單晶性半導體薄膜表面實施化學機械研磨 或選擇性#刻等’形成島狀化之特定膜厚及面積的單晶 性半導體薄膜’依需要經由高溫熱氧化、低溫高壓退火 、化學汽相沉積等製造形成有閘極、絕緣膜或絕緣保護膜 之单晶半導體梦基板。 8〇_ —種半導體基板或半導體裝置的製造方法,其係在基體 上依需要形成第1氧化性絕緣膜與第丨氮化性絕緣膜與 第2氧化性絕緣膜之疊層膜,在其上形成單晶半導體與 晶格整合良好之物質層,在該物質層上堆疊含有錫等以 族元素的至少一種或不含其之低級結晶性半導體薄膜 、及依需要堆疊降低光反射及保護用絕緣膜,藉由將前 述基體作適切預備加熱處理(Pre_baking)、輔助加熱狀態 (Asist-baking)及後加熱保持(p〇st_baking)的閃光燈退火 ,使前述物質層在晶種上異質外延生長,形成單晶性半 導體薄膜,對該單晶性半導體薄膜表面實施化學機械研 磨或選擇性蝕刻等,形成包含特定膜厚的單晶性半導體 溥膜’依需要經由高溫熱氧化、低溫高壓退火、化學汽 相沉積等製造形成有閘極絕緣膜或絕緣保護膜之單晶 半導體碎基板。 81. —種單晶性半導體薄膜或單晶性半導體裝置的製造方 法,其係在申請專利範圍第7 9或8 0項之前述單晶半導體 74443-980121.doc
    1313059
    矽基板之前述單晶性半導體薄膜内植入離子或摻雜離 子,形成η型或/及p型雜質區域,至少使用降低紅外線 或紅外線遮蔽濾色器,藉由將前述基板作適切預備加熱 處理(Pre-baking)、輔助加熱狀態(Asist_baking)及後加 熱保持(Post-baking)的閃光燈退火,以促使雜質離子活 化。 裝 82. —種單晶性半導體薄膜或單晶性半導體裝置的製造方 法’其係在結晶性半導體基板、矽絕緣體基板等之單晶 半導體薄膜内植入離子或摻雜離子,形成η型或/及卩型 雜質區域,至少使用降低紅外線或紅外線遮蔽濾色器, 藉由將前述基板作適切預備加熱處理(pre_baking)、輔助 加熱狀態(Asist-baking)及後加熱保持(post_baking)的閃 光燈退火’以促使雜質離子活化。 線 83· —種多晶性或單晶性半導體薄臈或多晶性或單晶性半 導體裝置的製造方法’其係藉由基體上之雷射{近紫外 線(UV)及/或遠紫外線(DUV)雷射、可視光線雷射、近紅 外線及/或遠紅外線雷射等}退火而晶化之多晶性或單 晶性半導體薄膜中,植入離子或摻雜離子,形成η型或/ 及Ρ型雜質區域’至少使用降低紅外線或紅外線遮蔽濾 色器,藉由將前述基體作適切預備加熱處理(pre_baking) 、輔助加熱狀態(Asist-baking)及後加熱保持 (Post-baking)的閃光燈退火,以促使雜質離子活化。 84. —種多晶性半導體薄膜或多晶性半導體裝置的製造方 法,其係藉由基體上之固態生長而晶化之多晶性半導體 74443-980121.doc -20- 本紙强·尺度適用中國國家標準(CNS) A4規格(210X 297公董〉 ABCD 1313059 六、申請專利範圍 薄膜中,植入離子或摻雜離子,形成n型或/及卩型雜質 區域,至少使用降低紅外線或紅外線遮蔽濾色器,藉由 將則述基體作適切預備加熱處理(Pre baking)、輔助加熱 狀態(Asist-baking)及後加熱保持(p〇st_baking)的閃光燈 退火,以促使雜質離子活化。 85· —種多晶性或單晶性半導體薄膜或多晶性或單晶性半 導體裝置的製造方法,其係在藉由基體上之聚光燈退火 而晶化之多晶性或單晶性半導體薄膜中,植入離子或摻 雜離子,形成η型或/及p型雜質區域,至少使用降低紅 外線或紅外線遮蔽濾色器,藉由將前述基體作適切預備 加熱處理(Pre-baking)、輔助加熱狀態(Asist_baking)及 後加熱保持(Post-baking)的閃光燈退火,以促使雜質離 子活化。 86. —種半導體薄膜或半導體裝置的製造方法,包含: 第一步驟,其係在基體上形成含有錫等IV族元素的至 少一種或不含其之低級結晶性半導體薄膜; 第二步驟,其係在前述低級結晶性半導體薄膜中植入 離子或摻雜離子,形成η型或/及p型雜質區域; 第三步驟,其係在前述基體上實施加熱至其畸變點以 下溫度的預備加熱處理(Pre-baking); 第四步驟’其係藉由在將前述基體加熱至其畸變點以 下溫度之輔助加熱狀態(Asist-baking)的閃光燈退火,以 熔融或半熔融或非熔融狀態之加熱與冷卻,同時實施前 述低級結晶性半導體薄膜之晶化及雜質離子的活化;及 74443-980121.doc .21. 本紙張尺度適用中國國家標準(CNs) A4規格(210 X 297公釐) A8 B8 C8 D8 1313059 六、申請專利範圍 第五步驟,其係實施後加熱保持(Post-baking),使前 述基體冷卻至其畸變點以下的溫度。 87. —種半導體薄臈或半導體裝置的製造方法,包含: 第一步驟,其係在基體上形成含有錫等IV族元素的至 少一種或不含其之低級結晶性半導體薄膜; 第二步驟,其係實施預備加熱處理(pre_baking),將前 述基體加熱至其畸變點以下的溫度; 第二步驟’其係藉由在將前述基體加熱至其畸變點以 下溫度之輔助加熱狀態(Asist-baking)的閃光燈退火,以 炫融或半熔融或非熔融狀態之加熱與冷卻將前述低級 結晶性半導體薄膜予以晶化; 第四步驟’其係實施後加熱保持(Post-baking),使前 述基體冷卻至其畸變點以下的溫度; 第五步驟’其係在所形成之多晶性或單晶性半導體薄 膜中植入離子或摻雜離子,形成η型或/及p型雜質區域 :及 第六步驟’其係至少使用降低紅外線或紅外線遮蔽濾 色器’藉由將前述基體作適切預備加熱處理(pre-baking) 、輔助加熱狀態(Asist-baking)及後加熱保持 (Post-baking)的閃光燈退火之非熔融狀態之加熱,以促 使雜質離子活化。 88· —種半導體薄膜或半導體裝置的製造方法,其係在基體 上形成導熱性及導電性高於基體,且對於透過遮光性之 降低光反射及保護用絕緣膜與低級結晶性半導體薄膜 74443-980121.doc 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 裝 η 線 -22- A8 B8 C8 D8 1313059 六、申請專利範圍 的閃光照射光,顯示高吸收性或高反射性的底層臈,在 其上依需要形成電絕緣性之透光性或遮光性的緩衝臈 ,並在其上之至少底層膜區域内形成含有錫等IV族元素 的至少一種或不含其之低級結晶性半導體薄膜,再依需 要在其上形成降低光反射及保護用絕緣膜,藉由將前述 基體作適切預備加熱處理(Pre_baking)、輔助加熱狀態 (Asist-baking)及後加熱保持(p〇st_baking)之閃光燈退火 下之熔融或半熔融或非熔融狀態之加熱與冷卻,以促進 前述低級結晶性半導體薄膜的晶化❶ 89.如申請專利範圍第88項之半導體薄膜或半導體裝置的 製造方法,其中前述底層膜以與含有錫等IV族元素的至 少-種或不含其之前述低級結晶性半導體薄膜相等以 上的面積’圖案化成-部分線狀突出的形狀,使經前述 閃光燈退火而炫融或半熔融或非炫融狀態之前述低級 結晶性半導體薄膜自前述底層膜之前述突出形狀部分 散熱而形成結晶生長核,以任意的結晶方位使其全體晶 化。 9〇_如申請專利範圍第88項本塞 喝义平導體薄膜或半導體裝置的 ^方法’其中以與前述底層膜相等以下的面積,在前 述底層膜之突出形狀區域内脾 上返底層膜上之含有錫 等IV族元素的至少一箱忐尤人廿 * 種次不含其之低級結晶性半導體 薄膜予以圖案化成微小φ Ψ沾 做〗犬出的形狀,將前述閃光燈退火 I之炫融或半炫融或㈣融狀態之前述低級結晶性半 導體膜,自前述底層膜之斜|, 膜之微小犬出形狀部散熱而形成結 -23, 74443-980121 ,doc 本紙張尺度適用中國國家標準(CNS) A4€i^7297公 申請專利範圍 晶生長核,以任意的結晶方位使其全體晶化。 1.如申凊專利範圍第88〜90項中任一項之半導體薄膜或 ,體裝置的製造方法,其中前述底層膜係介以線狀突出 部分在任意的電位下使用。 92. =申請專利範圍第88項之半導體薄膜或半導體裝置的 製造方法’其中前述閃光燈退火時之降低光反射及保護 用絕緣膜至少為透過紫外線之電絕緣性膜,或是使用間 極絕緣膜。 93. 一種半導體薄膜或半導體裝置的製造方法,其係藉由在 氧化性環境中之閃光燈退火之熔融或半熔融加熱與冷 卻,使含有錫等以族力素的至少一種或不含其之低級結 晶性半導體薄膜晶化時,在該低結晶性或單晶性半導體 薄膜的表面同時形成氧化系絕緣膜,將該氧化系絕緣膜 作為閘極絕緣膜或保護膜使用。 種半導體薄膜或半導體裝置的製造方法,其係在藉由 閃光燈退火所形成之低畸變點玻璃或高畸變點玻璃基 板上之含有或不含至少一種錫等IV族元素之多晶性或 單日日性半導體薄膜上,在〇1 MPa以上,30 MPa以下, 常溫以上,基板之畸變點以下溫度之高壓低溫的氧化性 環境中,形成氧化系絕緣膜,將該氧化系絕緣獏作為閘 極絕緣膜或保護膜使用。 95· —種半導體薄膜或半導體裝置的製造方法,其係藉由在 氧化性環境中,對閃光燈退火所形成之高畸變點玻璃基 板上之含有錫等IV族元素的至少一種或不含其之多晶 74443-980121.doc 1313059 、申請專利範園 A8 B8 C8 D8 性或單晶性半導體薄膜實施高溫熱氧化,以形成氧化系 緣膜,將該氧化系絕緣膜作為閘極絕緣膜或保護膜使 用。 96. 一種半導體薄膜或半導體裝置的製造方法,其係 氧化性環境中,對閃光燈退火所形成之高崎變點玻璃: 板上之附降低光反射及保護用絕緣膜之含有錫等以族 疋素的至少-種或不含其之多晶性或單晶性半導體薄 膜實施高溫熱氧化,以形成氧化系絕緣膜,將該氧化系 絕緣膜作為閘極絕緣膜或保護臈使用。 97. :種^體薄膜或半導體裝置的製造方法其經由在 狐〜基板之畸變點以下溫度、分壓13 33 飽和蒸汽壓以下之水之氣體之環境 ’匕含 氣中退幻,將藉㈣光燈退火所形成^熱步驟(水蒸 族元素的至少一種或不含其之多晶::含有或錫等IV 薄膜及絕緣膜的至少一者予以改質。S單晶性半導體 74443-980121.doc
TW090130202A 2000-12-08 2001-12-06 TWI313059B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000373826 2000-12-08

Publications (1)

Publication Number Publication Date
TWI313059B true TWI313059B (zh) 2009-08-01

Family

ID=18843130

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090130202A TWI313059B (zh) 2000-12-08 2001-12-06

Country Status (4)

Country Link
US (2) US7183229B2 (zh)
KR (2) KR100882234B1 (zh)
TW (1) TWI313059B (zh)
WO (1) WO2002047137A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI552327B (zh) * 2011-03-31 2016-10-01 Hamamatsu Photonics Kk Photodiode array module and its manufacturing method
TWI683723B (zh) * 2016-03-14 2020-02-01 日商東京威力科創股份有限公司 基板處理裝置、基板處理方法及電腦可讀取記錄媒體

Families Citing this family (496)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6555449B1 (en) * 1996-05-28 2003-04-29 Trustees Of Columbia University In The City Of New York Methods for producing uniform large-grained and grain boundary location manipulated polycrystalline thin film semiconductors using sequential lateral solidfication
WO2000063956A1 (fr) * 1999-04-20 2000-10-26 Sony Corporation Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
JP2002118078A (ja) 2000-10-12 2002-04-19 Toshiba Corp 半導体装置の製造方法及び半導体装置
US6759308B2 (en) * 2001-07-10 2004-07-06 Advanced Micro Devices, Inc. Silicon on insulator field effect transistor with heterojunction gate
US6656339B2 (en) * 2001-08-29 2003-12-02 Motorola, Inc. Method of forming a nano-supported catalyst on a substrate for nanotube growth
US7255899B2 (en) * 2001-11-12 2007-08-14 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and heat treatment method of substrate
JP2003209318A (ja) * 2001-11-12 2003-07-25 Sharp Corp 半導体レーザ素子および半導体レーザ素子の製造方法
US7009205B2 (en) * 2002-01-15 2006-03-07 Hitachi, Ltd. Image display device using transistors each having a polycrystalline semiconductor layer
AU2003215202A1 (en) * 2002-02-13 2003-09-04 The Regents Of The University Of California A multilayer structure to form an active matrix display having single crystalline drivers over a transmissive substrate
TWI288443B (en) * 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
JP2004071696A (ja) * 2002-08-02 2004-03-04 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
KR20050047103A (ko) 2002-08-19 2005-05-19 더 트러스티스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 다양한 조사 패턴을 포함하는 원 샷 반도체 가공 시스템 및방법
TWI378307B (en) * 2002-08-19 2012-12-01 Univ Columbia Process and system for laser crystallization processing of film regions on a substrate to minimize edge areas, and structure of such film regions
US7605023B2 (en) * 2002-08-29 2009-10-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for a semiconductor device and heat treatment method therefor
JP4627961B2 (ja) * 2002-09-20 2011-02-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4063050B2 (ja) * 2002-10-31 2008-03-19 豊田合成株式会社 p型III族窒化物系化合物半導体の電極およびその製造方法
JPWO2004064453A1 (ja) * 2003-01-10 2006-05-18 株式会社半導体エネルギー研究所 発光素子及びその作製方法
US7704318B2 (en) * 2003-02-25 2010-04-27 Sumco Corporation Silicon wafer, SOI substrate, method for growing silicon single crystal, method for manufacturing silicon wafer, and method for manufacturing SOI substrate
WO2004111394A1 (ja) * 2003-06-10 2004-12-23 Ishikawajima-Harima Heavy Industries Co., Ltd. タービン部品、ガスタービンエンジン、タービン部品の製造方法、表面処理方法、翼部品、金属部品、及び蒸気タービンエンジン
SG166677A1 (en) * 2003-06-10 2010-12-29 Mitsubishi Electric Corp Turbine component, gas turbine engine, production method of turbine component, surface treatment method thereof, blade component, metal component and steam turbine engine
US7170148B2 (en) * 2003-07-02 2007-01-30 Analog Devices, Inc. Semi-fusible link system for a multi-layer integrated circuit and method of making same
WO2005011332A1 (ja) * 2003-07-24 2005-02-03 Semiconductor Energy Laboratory Co., Ltd. 発光素子の作製方法
US20050104072A1 (en) * 2003-08-14 2005-05-19 Slater David B.Jr. Localized annealing of metal-silicon carbide ohmic contacts and devices so formed
JP2005072045A (ja) * 2003-08-26 2005-03-17 Toshiba Corp 半導体装置およびその製造方法
JP4411907B2 (ja) * 2003-08-29 2010-02-10 セイコーエプソン株式会社 半導体装置の製造方法
WO2005029546A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for providing a continuous motion sequential lateral solidification for reducing or eliminating artifacts, and a mask for facilitating such artifact reduction/elimination
US7164152B2 (en) * 2003-09-16 2007-01-16 The Trustees Of Columbia University In The City Of New York Laser-irradiated thin films having variable thickness
WO2005029549A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for facilitating bi-directional growth
US7318866B2 (en) * 2003-09-16 2008-01-15 The Trustees Of Columbia University In The City Of New York Systems and methods for inducing crystallization of thin films using multiple optical paths
US7364952B2 (en) * 2003-09-16 2008-04-29 The Trustees Of Columbia University In The City Of New York Systems and methods for processing thin films
TWI359441B (en) * 2003-09-16 2012-03-01 Univ Columbia Processes and systems for laser crystallization pr
US7311778B2 (en) 2003-09-19 2007-12-25 The Trustees Of Columbia University In The City Of New York Single scan irradiation for crystallization of thin films
WO2005029538A2 (en) * 2003-09-22 2005-03-31 Seok Kyun Song A plasma generating apparatus and an alignment process for liquid crystal displays using the apparatus
US7709403B2 (en) * 2003-10-09 2010-05-04 Panasonic Corporation Silicon carbide-oxide layered structure, production method thereof, and semiconductor device
US7473656B2 (en) * 2003-10-23 2009-01-06 International Business Machines Corporation Method for fast and local anneal of anti-ferromagnetic (AF) exchange-biased magnetic stacks
JP2005142344A (ja) * 2003-11-06 2005-06-02 Toshiba Corp 半導体装置の製造方法および半導体製造装置
US20050106895A1 (en) * 2003-11-17 2005-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Supercritical water application for oxide formation
WO2005055281A2 (en) * 2003-11-17 2005-06-16 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Flexible electronics using ion implantation to adhere polymer substrate to single crystal silicon substrate
KR20050053883A (ko) * 2003-12-03 2005-06-10 삼성전자주식회사 표시 장치용 박막 트랜지스터 표시판
KR100965594B1 (ko) * 2003-12-16 2010-06-23 엘지디스플레이 주식회사 액정표시장치의 램프 구동장치
CN100459072C (zh) * 2004-01-16 2009-02-04 国际商业机器公司 具有高驰豫和低堆垛层错缺陷密度的薄sgoi晶片的制作方法
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
JP4594664B2 (ja) * 2004-07-07 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100624427B1 (ko) * 2004-07-08 2006-09-19 삼성전자주식회사 다결정 실리콘 제조방법 및 이를 이용하는 반도체 소자의제조방법
JP4706199B2 (ja) * 2004-07-20 2011-06-22 株式会社Sumco Simox基板の製造方法
TW200605229A (en) * 2004-07-28 2006-02-01 Adv Lcd Tech Dev Ct Co Ltd Method of manufacturing semiconductor device
US7385238B2 (en) * 2004-08-16 2008-06-10 Micron Technology, Inc. Low dark current image sensors with epitaxial SiC and/or carbonated channels for array transistors
TWI234188B (en) * 2004-08-18 2005-06-11 Ind Tech Res Inst Method for fabricating semiconductor device
KR101095643B1 (ko) * 2004-08-20 2011-12-19 삼성전자주식회사 버퍼층을 포함하는 액정 표시 패널 및 이를 갖는 액정표시장치
DE102004049453A1 (de) * 2004-10-11 2006-04-20 Infineon Technologies Ag Elektrischer Schaltkreis mit einer Nanostruktur und Verfahren zum Herstellen einer Kontaktierung einer Nanostruktur
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
EP1810950B1 (en) * 2004-11-10 2016-01-20 Nikon Corporation Carbon nanotube aggregate and process for producing the same
US7645337B2 (en) * 2004-11-18 2010-01-12 The Trustees Of Columbia University In The City Of New York Systems and methods for creating crystallographic-orientation controlled poly-silicon films
US20060125046A1 (en) * 2004-12-14 2006-06-15 Hyun Cheol Bae Integrated inductor and method of fabricating the same
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8486487B2 (en) 2005-02-17 2013-07-16 Konica Minolta Holdings, Inc. Gas barrier film, gas barrier film manufacturing method, resin substrate for organic electroluminescent device using the aforesaid gas barrier film, and organic electroluminescent device using the aforementioned gas barrier film
US8062707B2 (en) * 2005-02-17 2011-11-22 Konica Minolta Holdings, Inc. Gas barrier film, gas barrier film manufacturing method, resin substrate for organic electroluminescent device using the aforesaid gas barrier film, and organic electroluminescent device using the aforementioned gas barrier film
US8221544B2 (en) * 2005-04-06 2012-07-17 The Trustees Of Columbia University In The City Of New York Line scan sequential lateral solidification of thin films
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
FR2885221B1 (fr) * 2005-04-28 2007-07-27 Framatome Anp Sas Camera d'examen photothermique a dispositif de reglage de l'offset.
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
KR100732858B1 (ko) * 2005-05-13 2007-06-27 삼성에스디아이 주식회사 다결정질 박막의 현장 성장방법
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
TWI485681B (zh) * 2005-08-12 2015-05-21 Semiconductor Energy Lab 顯示裝置
KR101368570B1 (ko) * 2005-08-16 2014-02-27 더 트러스티이스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 박막의 고수율 결정화
WO2007025062A2 (en) * 2005-08-25 2007-03-01 Wakonda Technologies, Inc. Photovoltaic template
KR100976010B1 (ko) 2005-08-30 2010-08-17 가부시키가이샤 가네카 실리콘계 박막 광전 변환 장치, 및 그의 제조 방법
JP5116225B2 (ja) 2005-09-06 2013-01-09 キヤノン株式会社 酸化物半導体デバイスの製造方法
US7572741B2 (en) * 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US8314327B2 (en) * 2005-11-06 2012-11-20 Banpil Photonics, Inc. Photovoltaic cells based on nano or micro-scale structures
US8816191B2 (en) * 2005-11-29 2014-08-26 Banpil Photonics, Inc. High efficiency photovoltaic cells and manufacturing thereof
JP2007157835A (ja) * 2005-12-01 2007-06-21 Matsushita Electric Ind Co Ltd 実装基板
JP2009518864A (ja) * 2005-12-05 2009-05-07 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク 膜を加工するためのシステム及び方法並びに薄膜
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US8791359B2 (en) * 2006-01-28 2014-07-29 Banpil Photonics, Inc. High efficiency photovoltaic cells
US20090286352A1 (en) * 2006-04-18 2009-11-19 Chien-Min Sung Diamond Bodies Grown on SIC Substrates and Associated Methods
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080023732A1 (en) * 2006-07-28 2008-01-31 Felch Susan B Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
US7927660B2 (en) * 2006-08-21 2011-04-19 Macronix International Co., Ltd. Method of manufacturing nano-crystalline silicon dot layer
US8375891B2 (en) * 2006-09-11 2013-02-19 Ulvac, Inc. Vacuum vapor processing apparatus
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7821066B2 (en) * 2006-12-08 2010-10-26 Michael Lebby Multilayered BOX in FDSOI MOSFETS
US9181098B2 (en) 2006-12-15 2015-11-10 Los Alamos National Security, Llc Preparation of array of long carbon nanotubes and fibers therefrom
US20080141509A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
JP5517404B2 (ja) * 2006-12-28 2014-06-11 株式会社日本触媒 光選択透過フィルター
US7582515B2 (en) * 2007-01-18 2009-09-01 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US8203071B2 (en) * 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080223440A1 (en) * 2007-01-18 2008-09-18 Shuran Sheng Multi-junction solar cells and methods and apparatuses for forming the same
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP4420032B2 (ja) * 2007-01-31 2010-02-24 ソニー株式会社 薄膜半導体装置の製造方法
JP5214153B2 (ja) * 2007-02-09 2013-06-19 大日本スクリーン製造株式会社 熱処理装置
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US20080245414A1 (en) * 2007-04-09 2008-10-09 Shuran Sheng Methods for forming a photovoltaic device with low contact resistance
JP2008288348A (ja) * 2007-05-16 2008-11-27 Canon Inc プラズマ処理装置及びプラズマ処理方法
US7781256B2 (en) * 2007-05-31 2010-08-24 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US7851343B2 (en) * 2007-06-14 2010-12-14 Cree, Inc. Methods of forming ohmic layers through ablation capping layers
US7875486B2 (en) * 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
JP2010533796A (ja) * 2007-07-17 2010-10-28 アプライド マテリアルズ インコーポレイテッド 圧力制御された遠隔プラズマ源による洗浄率の改善
TW200905730A (en) * 2007-07-23 2009-02-01 Ind Tech Res Inst Method for forming a microcrystalline silicon film
JP4488039B2 (ja) * 2007-07-25 2010-06-23 ソニー株式会社 薄膜半導体装置の製造方法
JP2009033003A (ja) * 2007-07-30 2009-02-12 Fujifilm Corp 薄膜素子とその製造方法、半導体装置
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
WO2009039482A1 (en) * 2007-09-21 2009-03-26 The Trustees Of Columbia University In The City Of New York Collections of laterally crystallized semiconductor islands for use in thin film transistors
TWI418037B (zh) 2007-09-25 2013-12-01 Univ Columbia 藉由改變形狀、大小或雷射光束在製造於橫向結晶化薄膜上之薄膜電晶體元件中產生高一致性的方法
JP2009093758A (ja) * 2007-10-10 2009-04-30 Shin Etsu Chem Co Ltd 磁気記録媒体用シリコン基板の製造方法および磁気記録媒体
US20090101201A1 (en) * 2007-10-22 2009-04-23 White John M Nip-nip thin-film photovoltaic structure
US20090104733A1 (en) * 2007-10-22 2009-04-23 Yong Kee Chae Microcrystalline silicon deposition for thin film solar applications
US7892953B2 (en) * 2007-10-31 2011-02-22 Atomic Energy Council-Institute Of Nuclear Energy Research Method for making multi-crystalline film of solar cell
JP2011503847A (ja) * 2007-11-02 2011-01-27 ワコンダ テクノロジーズ, インコーポレイテッド 結晶質薄膜光起電力構造およびその形成方法
WO2009059240A1 (en) * 2007-11-02 2009-05-07 Applied Materials, Inc. Intrinsic amorphous silicon layer
WO2009059238A1 (en) * 2007-11-02 2009-05-07 Applied Materials, Inc. Plasma treatment between deposition processes
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US7800081B2 (en) * 2007-11-08 2010-09-21 Applied Materials, Inc. Pulse train annealing method and apparatus
US8012861B2 (en) 2007-11-21 2011-09-06 The Trustees Of Columbia University In The City Of New York Systems and methods for preparing epitaxially textured polycrystalline films
WO2009067688A1 (en) 2007-11-21 2009-05-28 The Trustees Of Columbia University In The City Of New York Systems and methods for preparing epitaxially textured polycrystalline films
KR20100105606A (ko) 2007-11-21 2010-09-29 더 트러스티이스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 에피택셜하게 텍스쳐화된 후막의 제조를 위한 시스템 및 방법
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US7880168B2 (en) * 2007-12-19 2011-02-01 Aptina Imaging Corporation Method and apparatus providing light traps for optical crosstalk reduction
JP4816634B2 (ja) * 2007-12-28 2011-11-16 ウシオ電機株式会社 基板加熱装置及び基板加熱方法
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8283644B2 (en) 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
JP5348898B2 (ja) * 2008-01-22 2013-11-20 株式会社東芝 半導体装置およびその製造方法
WO2009100082A1 (en) 2008-02-04 2009-08-13 Bayer Healthcare Llc Semiconductor based analyte sensors and methods
US8569155B2 (en) * 2008-02-29 2013-10-29 The Trustees Of Columbia University In The City Of New York Flash lamp annealing crystallization for large area thin films
WO2009108936A1 (en) * 2008-02-29 2009-09-03 The Trustees Of Columbia University In The City Of New York Lithographic method of making uniform crystalline si films
JP2011515833A (ja) * 2008-02-29 2011-05-19 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク 薄膜のためのフラッシュ光アニーリング
KR100870838B1 (ko) * 2008-03-04 2008-11-28 한국철강 주식회사 투명전극이 코팅된 기판의 수분 제거방법
CN101550531B (zh) * 2008-04-03 2013-04-24 清华大学 硅纳米结构的制备方法
US8548311B2 (en) 2008-04-09 2013-10-01 Applied Materials, Inc. Apparatus and method for improved control of heating and cooling of substrates
CN101555034B (zh) * 2008-04-09 2014-04-30 清华大学 氧化锌纳米结构的制备方法
JP5346484B2 (ja) 2008-04-16 2013-11-20 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
TWI492896B (zh) * 2008-04-18 2015-07-21 Hon Hai Prec Ind Co Ltd 矽奈米結構的製備方法
JP2009272402A (ja) * 2008-05-02 2009-11-19 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP5356725B2 (ja) * 2008-05-13 2013-12-04 大日本スクリーン製造株式会社 熱処理装置
US7883988B2 (en) * 2008-06-04 2011-02-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
DE102008032171B4 (de) * 2008-07-08 2014-12-18 Infineon Technologies Austria Ag Verfahren zum Herstellen einer einkristallinen Schicht
US7838400B2 (en) * 2008-07-17 2010-11-23 Applied Materials, Inc. Rapid thermal oxide passivated solar cell with improved junction
US7981778B2 (en) * 2009-07-22 2011-07-19 Applied Materials, Inc. Directional solid phase crystallization of thin amorphous silicon for solar cell applications
KR101002661B1 (ko) * 2008-08-12 2010-12-20 삼성모바일디스플레이주식회사 인라인 열처리 설비 및 이를 사용한 기판 열처리 방법
US8895842B2 (en) * 2008-08-29 2014-11-25 Applied Materials, Inc. High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
US8236603B1 (en) 2008-09-04 2012-08-07 Solexant Corp. Polycrystalline semiconductor layers and methods for forming the same
US20100059110A1 (en) * 2008-09-11 2010-03-11 Applied Materials, Inc. Microcrystalline silicon alloys for thin film and wafer based solar applications
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
EP2341830A4 (en) 2008-09-19 2012-10-31 Bayer Healthcare Llc ANALYTICAL SENSORS, SYSTEMS, TEST UNIT AND MANUFACTURING METHOD
CN102171557B (zh) * 2008-09-19 2016-10-19 安晟信医疗科技控股公司 具有增强的电化学活性的电化学装置及其制造方法
WO2010033660A1 (en) * 2008-09-19 2010-03-25 Bayer Healthcare Llc Lancet analyte sensors and methods of manufacturing
EP2345077A4 (en) * 2008-09-19 2017-03-29 Ascensia Diabetes Care Holdings AG Analyte sensors, testing apparatus and manufacturing methods
US7914619B2 (en) * 2008-11-03 2011-03-29 International Business Machines Corporation Thick epitaxial silicon by grain reorientation annealing and applications thereof
WO2010056990A1 (en) 2008-11-14 2010-05-20 The Trustees Of Columbia University In The City Of New York Systems and methods for the crystallization of thin films
TWI382557B (zh) * 2008-11-14 2013-01-11 Nexpower Technology Corp 薄膜太陽能電池之穿透孔製作方法
DE102008044025A1 (de) * 2008-11-24 2010-08-05 Cemecon Ag Vorrichtung und Verfahren zum Beschichten eines Substrats mittels CVD
US20100140679A1 (en) * 2008-12-05 2010-06-10 Walker Andrew J Stacked dual-gate nmos devices with antimony source-drain regions and methods for manufacturing thereof
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8461033B2 (en) 2009-01-13 2013-06-11 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and method for heating substrate by light-irradiation
US7989325B2 (en) * 2009-01-13 2011-08-02 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing crystalline semiconductor film and method for manufacturing thin film transistor
US8415187B2 (en) * 2009-01-28 2013-04-09 Solexant Corporation Large-grain crystalline thin-film structures and devices and methods for forming the same
US8299537B2 (en) 2009-02-11 2012-10-30 International Business Machines Corporation Semiconductor-on-insulator substrate and structure including multiple order radio frequency harmonic supressing region
US7947601B2 (en) * 2009-03-24 2011-05-24 Micron Technology, Inc. Semiconductor devices and methods for forming patterned radiation blocking on a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5258666B2 (ja) * 2009-04-22 2013-08-07 株式会社半導体エネルギー研究所 発光装置の作製方法および成膜用基板
US8129284B2 (en) * 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
US8398768B2 (en) * 2009-05-14 2013-03-19 Corning Incorporated Methods of making an article of semiconducting material on a mold comprising semiconducting material
JP5285519B2 (ja) * 2009-07-01 2013-09-11 パナソニック株式会社 半導体装置及びその製造方法
US8766269B2 (en) * 2009-07-02 2014-07-01 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device, lighting device, and electronic device
US20110114177A1 (en) * 2009-07-23 2011-05-19 Applied Materials, Inc. Mixed silicon phase film for high efficiency thin film silicon solar cells
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
US8526167B2 (en) 2009-09-03 2013-09-03 Applied Materials, Inc. Porous amorphous silicon-carbon nanotube composite based electrodes for battery applications
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
JP5586199B2 (ja) * 2009-10-02 2014-09-10 三洋電機株式会社 触媒cvd装置、膜の形成方法及び太陽電池の製造方法
WO2011046664A2 (en) * 2009-10-15 2011-04-21 Applied Materials, Inc. A barrier layer disposed between a substrate and a transparent conductive oxide layer for thin film silicon solar cells
KR101751908B1 (ko) 2009-10-21 2017-06-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 전압 조정 회로
WO2011048923A1 (en) * 2009-10-21 2011-04-28 Semiconductor Energy Laboratory Co., Ltd. E-book reader
US8440581B2 (en) * 2009-11-24 2013-05-14 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse sequential lateral solidification
US9087696B2 (en) 2009-11-03 2015-07-21 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse partial melt film processing
US9646831B2 (en) 2009-11-03 2017-05-09 The Trustees Of Columbia University In The City Of New York Advanced excimer laser annealing for thin films
US20110126875A1 (en) * 2009-12-01 2011-06-02 Hien-Minh Huu Le Conductive contact layer formed on a transparent conductive layer by a reactive sputter deposition
KR101125567B1 (ko) * 2009-12-24 2012-03-22 삼성모바일디스플레이주식회사 고분자 기판 및 그 제조 방법과 상기 고분자 기판을 포함하는 표시 장치 및 그 제조 방법
US10000965B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductive coating technology
US10060180B2 (en) 2010-01-16 2018-08-28 Cardinal Cg Company Flash-treated indium tin oxide coatings, production methods, and insulating glass unit transparent conductive coating technology
US10000411B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductivity and low emissivity coating technology
KR101815838B1 (ko) 2010-01-24 2018-01-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치
JP5507274B2 (ja) 2010-01-29 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
JP5526876B2 (ja) * 2010-03-09 2014-06-18 東京エレクトロン株式会社 加熱装置及びアニール装置
KR101097348B1 (ko) * 2010-03-11 2011-12-23 삼성모바일디스플레이주식회사 결정화 장치, 결정화 방법, 박막 트랜지스터 제조 방법 및 유기 발광 표시 장치의 제조 방법
US20110232753A1 (en) * 2010-03-23 2011-09-29 Applied Materials, Inc. Methods of forming a thin-film solar energy device
KR101808198B1 (ko) 2010-05-21 2017-12-12 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP2012015304A (ja) * 2010-06-30 2012-01-19 Sumitomo Electric Ind Ltd 半導体装置
US20120001179A1 (en) * 2010-07-02 2012-01-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
KR20120040434A (ko) * 2010-10-19 2012-04-27 삼성전자주식회사 결정화 실리콘 제조 장치 및 이를 이용한 태양 전지의 제조 방법
JP5562434B2 (ja) * 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2012163651A (ja) * 2011-02-04 2012-08-30 Sony Corp 有機el表示装置及び電子機器
JP5913816B2 (ja) 2011-02-21 2016-04-27 富士通株式会社 半導体装置の製造方法
US8129215B1 (en) * 2011-04-01 2012-03-06 James P Campbell Method for producing high temperature thin film silicon layer on glass
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
JP5931573B2 (ja) * 2011-05-13 2016-06-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI442587B (zh) * 2011-11-11 2014-06-21 Hon Hai Prec Ind Co Ltd 外殼面板及使用該外殼面板的電子設備
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
US9449825B2 (en) * 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
JP5505446B2 (ja) * 2012-03-19 2014-05-28 ウシオ電機株式会社 フラッシュランプ
CN102683422B (zh) * 2012-03-21 2016-03-23 京东方科技集团股份有限公司 氧化物薄膜晶体管及制作方法、阵列基板、显示装置
JP6059566B2 (ja) * 2012-04-13 2017-01-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2014011256A (ja) * 2012-06-28 2014-01-20 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
KR20140091203A (ko) * 2013-01-10 2014-07-21 삼성전자주식회사 반도체의 잔류 응력 제거장치 및 잔류 응력 제거방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103227090B (zh) * 2013-02-04 2016-04-06 深圳市劲拓自动化设备股份有限公司 一种线性等离子体源
US9142668B2 (en) 2013-03-13 2015-09-22 Cree, Inc. Field effect transistor devices with buried well protection regions
US9012984B2 (en) 2013-03-13 2015-04-21 Cree, Inc. Field effect transistor devices with regrown p-layers
US9240476B2 (en) 2013-03-13 2016-01-19 Cree, Inc. Field effect transistor devices with buried well regions and epitaxial layers
US9306061B2 (en) 2013-03-13 2016-04-05 Cree, Inc. Field effect transistor devices with protective regions
US20140352618A1 (en) * 2013-06-04 2014-12-04 Xuesong Li System for forming graphene on substrate
JP6110492B2 (ja) * 2013-07-19 2017-04-05 エルジー・ケム・リミテッド 透明導電性薄膜形成用コア―シェルナノ粒子、及びこれを使用した透明導電性薄膜の製造方法
US10209136B2 (en) 2013-10-23 2019-02-19 Applied Materials, Inc. Filament temperature derivation in hotwire semiconductor process
US9129918B2 (en) * 2013-10-30 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
KR102180037B1 (ko) * 2013-11-06 2020-11-18 삼성디스플레이 주식회사 가요성 표시 장치 및 그 제조 방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101569415B1 (ko) * 2014-06-09 2015-11-16 엘지전자 주식회사 태양 전지의 제조 방법
KR20150146409A (ko) 2014-06-20 2015-12-31 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치, 표시 장치, 입출력 장치, 및 전자 기기
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6425950B2 (ja) * 2014-09-12 2018-11-21 株式会社Screenホールディングス 半導体製造方法および半導体製造装置
US9570488B2 (en) * 2014-09-19 2017-02-14 Microsoft Technology Licensing, Llc Image sensor bending by induced substrate swelling
US10373995B2 (en) 2014-09-19 2019-08-06 Microsoft Technology Licensing, Llc Image sensor bending using tension
JP5847905B2 (ja) * 2014-09-30 2016-01-27 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10437153B2 (en) 2014-10-23 2019-10-08 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10304900B2 (en) 2015-04-02 2019-05-28 Microsoft Technology Licensing, Llc Bending semiconductor chip in molds having radially varying curvature
US9870927B2 (en) 2015-04-02 2018-01-16 Microsoft Technology Licensing, Llc Free-edge semiconductor chip bending
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10605774B2 (en) * 2015-09-17 2020-03-31 Apple Inc. Magnetic imaging
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
CN106898540B (zh) * 2015-12-17 2020-01-31 宸鸿光电科技股份有限公司 半导体制造方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI688004B (zh) * 2016-02-01 2020-03-11 美商瑪森科技公司 毫秒退火系統之預熱方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017171736A1 (en) * 2016-03-30 2017-10-05 Intel Corporation Nanowire for transistor integration
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11154903B2 (en) * 2016-05-13 2021-10-26 Jiangsu Favored Nanotechnology Co., Ltd. Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR20170140495A (ko) 2016-06-10 2017-12-21 삼성디스플레이 주식회사 표시 장치 및 그의 제조 방법
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6839939B2 (ja) * 2016-07-26 2021-03-10 株式会社Screenホールディングス 熱処理方法
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10062727B2 (en) 2016-09-09 2018-08-28 Microsoft Technology Licensing, Llc Strain relieving die for curved image sensors
KR102629466B1 (ko) * 2016-09-21 2024-01-26 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
WO2018063280A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in mos transistors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6810578B2 (ja) * 2016-11-18 2021-01-06 株式会社Screenホールディングス ドーパント導入方法および熱処理方法
JP6768481B2 (ja) * 2016-12-12 2020-10-14 株式会社Screenホールディングス ドーパント導入方法および熱処理方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10629415B2 (en) * 2017-03-28 2020-04-21 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrate
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN107275390A (zh) * 2017-06-30 2017-10-20 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板及显示装置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11158504B2 (en) 2017-07-31 2021-10-26 Corning Incorporated Flash-lamp annealing method of making polycrystalline silicon
WO2019027893A1 (en) * 2017-07-31 2019-02-07 Corning Incorporated LAMINATE ARTICLE HAVING A CENTRAL NON-GLASS PART AND A GLASS ENVELOPE AND ASSOCIATED METHODS
US20190041550A1 (en) * 2017-08-04 2019-02-07 Vitro Flat Glass Llc Flash Annealing of Transparent Conductive Oxide and Semiconductor Coatings
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20200005310A (ko) 2018-07-06 2020-01-15 고려대학교 산학협력단 하이브리드 불순물 활성화 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11028012B2 (en) 2018-10-31 2021-06-08 Cardinal Cg Company Low solar heat gain coatings, laminated glass assemblies, and methods of producing same
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP7190880B2 (ja) * 2018-11-26 2022-12-16 東京エレクトロン株式会社 半導体膜の形成方法及び成膜装置
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
TW202107528A (zh) * 2019-04-30 2021-02-16 美商得昇科技股份有限公司 氫氣輔助的大氣自由基氧化
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US20220275513A1 (en) * 2019-07-17 2022-09-01 Oerlikon Surface Solutions Ag, Pfäffikon Method for producing coatings with adapted coating properties
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11921422B2 (en) * 2021-04-09 2024-03-05 Applied Materials, Inc. Single-volume baking chamber for mask clean
US20220326608A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Baking chamber with shroud for mask clean
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113325625B (zh) * 2021-06-24 2022-07-29 业成科技(成都)有限公司 显示面板的制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11851743B1 (en) * 2022-08-19 2023-12-26 SunDensity, Inc. Metal nanoparticle fabrication on TCO for plasmonic interaction of photons
CN116913768B (zh) * 2023-09-14 2023-12-05 中国科学院半导体研究所 多次脉冲亚熔化准分子激光退火方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4330363A (en) * 1980-08-28 1982-05-18 Xerox Corporation Thermal gradient control for enhanced laser induced crystallization of predefined semiconductor areas
JPS5768014A (en) * 1980-10-16 1982-04-26 Toshiba Corp Manufacture of semiconductor single crystal film
JPS57183020A (en) * 1981-05-06 1982-11-11 Hitachi Ltd Formation of semiconductor layer
JPS60102728A (ja) * 1983-11-09 1985-06-06 Hitachi Ltd 半導体基板の製造方法
US4925819A (en) * 1983-11-10 1990-05-15 Exxon Research & Engineering Company Method of regenerating a deactivated catalyst
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
JPH05299339A (ja) 1991-03-18 1993-11-12 Semiconductor Energy Lab Co Ltd 半導体材料およびその作製方法
US5962869A (en) 1988-09-28 1999-10-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor material and method for forming the same and thin film transistor
US5753542A (en) 1985-08-02 1998-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for crystallizing semiconductor material without exposing it to air
JPS6235512A (ja) * 1985-08-09 1987-02-16 Agency Of Ind Science & Technol 半導体単結晶薄膜の製造方法
JPS63271922A (ja) * 1987-04-28 1988-11-09 Matsushita Electric Ind Co Ltd 熱処理装置
JPS63278217A (ja) * 1987-05-08 1988-11-15 Matsushita Electric Ind Co Ltd 半導体基板の製造方法
US5079187A (en) * 1987-12-07 1992-01-07 The Regents Of The University Of California Method for processing semiconductor materials
US5174881A (en) * 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
JPH02275622A (ja) * 1989-04-17 1990-11-09 Sony Corp アニール方法
US5194401A (en) * 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
JPH02283036A (ja) * 1989-04-25 1990-11-20 Seiko Epson Corp 半導体装置の製造方法
US5073698A (en) 1990-03-23 1991-12-17 Peak Systems, Inc. Method for selectively heating a film on a substrate
JPH05218367A (ja) * 1992-02-03 1993-08-27 Sharp Corp 多結晶シリコン薄膜用基板および多結晶シリコン薄膜の作製方法
US5357172A (en) * 1992-04-07 1994-10-18 Micron Technology, Inc. Current-regulated field emission cathodes for use in a flat panel display in which low-voltage row and column address signals control a much higher pixel activation voltage
JPH05291294A (ja) * 1992-04-10 1993-11-05 Casio Comput Co Ltd 薄膜トランジスタの製造方法
JP2840802B2 (ja) * 1992-12-04 1998-12-24 株式会社半導体エネルギー研究所 半導体材料の製造方法および製造装置
US5642017A (en) * 1993-05-11 1997-06-24 Micron Display Technology, Inc. Matrix-addressable flat panel field emission display having only one transistor for pixel control at each row and column intersection
JP3197707B2 (ja) * 1993-10-06 2001-08-13 松下電器産業株式会社 シリコン薄膜の結晶化方法および表示装置
JP4141508B2 (ja) 1993-12-03 2008-08-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6074901A (en) * 1993-12-03 2000-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for crystallizing an amorphous silicon film and apparatus for fabricating the same
US5452396A (en) * 1994-02-07 1995-09-19 Midwest Research Institute Optical processing furnace with quartz muffle and diffuser plate
JP3190512B2 (ja) 1994-02-10 2001-07-23 株式会社半導体エネルギー研究所 半導体作製方法
JP3059337B2 (ja) 1994-04-21 2000-07-04 シャープ株式会社 半導体装置およびその製造方法
US6162667A (en) 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP3190517B2 (ja) * 1994-05-13 2001-07-23 株式会社半導体エネルギー研究所 半導体の作製方法
JPH08195494A (ja) 1994-05-26 1996-07-30 Sanyo Electric Co Ltd 半導体装置,半導体装置の製造方法,薄膜トランジスタ,薄膜トランジスタの製造方法,表示装置
JP3311522B2 (ja) * 1994-05-30 2002-08-05 三洋電機株式会社 半導体装置の製造方法
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
JP3277082B2 (ja) * 1994-11-22 2002-04-22 シャープ株式会社 半導体装置およびその製造方法
US5627081A (en) * 1994-11-29 1997-05-06 Midwest Research Institute Method for processing silicon solar cells
US5827773A (en) * 1997-03-07 1998-10-27 Sharp Microelectronics Technology, Inc. Method for forming polycrystalline silicon from the crystallization of microcrystalline silicon
JP2000311857A (ja) * 2000-01-01 2000-11-07 Semiconductor Energy Lab Co Ltd 半導体薄膜およびそれを用いた薄膜半導体装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI552327B (zh) * 2011-03-31 2016-10-01 Hamamatsu Photonics Kk Photodiode array module and its manufacturing method
TWI683723B (zh) * 2016-03-14 2020-02-01 日商東京威力科創股份有限公司 基板處理裝置、基板處理方法及電腦可讀取記錄媒體

Also Published As

Publication number Publication date
US20070087492A1 (en) 2007-04-19
KR100882234B1 (ko) 2009-02-09
US7183229B2 (en) 2007-02-27
KR20080047601A (ko) 2008-05-29
US20030013280A1 (en) 2003-01-16
WO2002047137A1 (fr) 2002-06-13
KR20020086507A (ko) 2002-11-18

Similar Documents

Publication Publication Date Title
TWI313059B (zh)
TW552707B (en) Method for forming thin semiconductor film, method for fabricating semiconductor device, system for executing these methods and electro optic device
TW577174B (en) Method and apparatus for forming a thin semiconductor film, method and apparatus for producing a semiconductor device, and electro-optical apparatus
JP4092541B2 (ja) 半導体薄膜の形成方法及び半導体装置の製造方法
TW569287B (en) Semiconductor film, semiconductor device and method of their production
JP2860869B2 (ja) 半導体装置およびその作製方法
US7575985B2 (en) Method of fabricating semiconductor device
CN1258807C (zh) 热处理设备和制造半导体器件的方法
TW200811959A (en) Method for annealing silicon thin films using conductive layer and polycrystalline silicon thin films prepared therefrom
TW200400640A (en) Semiconductor device and method for fabricating the same
JP2003115457A (ja) 半導体装置及びその作製方法
TW200403754A (en) SiN film, semiconductor device, and the manufacturing method thereof
US7670885B2 (en) Thin-film semiconductor device and method for manufacturing the same
WO2015123913A1 (zh) 制作低温多晶硅薄膜晶体管和阵列基板的方法
JP4511092B2 (ja) 半導体素子の製造方法
JP4071005B2 (ja) 半導体装置の作製方法
TW200924067A (en) Methods of fabricating crystalline silicon, thin film transistors, and solar cells
JP4230160B2 (ja) 半導体装置の作製方法
TW200533775A (en) Method and apparatus for heat treatment of film, manufacturing method of film semiconductor element, and electro-optics device
TW200423407A (en) Fabricating method of low temperature poly-silicon film and low temperature poly-silicon thin film transistor
JP4360826B2 (ja) 半導体膜およびその製造方法
JP4050902B2 (ja) 半導体装置の作製方法
JP4004765B2 (ja) 半導体装置の作製方法
TWI305055B (en) Semiconductor device and method of manufacturing the same
JP3981532B2 (ja) 半導体装置の製造方法