JP6883495B2 - エッチング方法 - Google Patents

エッチング方法 Download PDF

Info

Publication number
JP6883495B2
JP6883495B2 JP2017169464A JP2017169464A JP6883495B2 JP 6883495 B2 JP6883495 B2 JP 6883495B2 JP 2017169464 A JP2017169464 A JP 2017169464A JP 2017169464 A JP2017169464 A JP 2017169464A JP 6883495 B2 JP6883495 B2 JP 6883495B2
Authority
JP
Japan
Prior art keywords
gas
silicon
film
etching
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017169464A
Other languages
English (en)
Other versions
JP2019046994A (ja
Inventor
優 長友
優 長友
嘉英 木原
嘉英 木原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2017169464A priority Critical patent/JP6883495B2/ja
Priority to KR1020180102762A priority patent/KR102626802B1/ko
Priority to TW107130215A priority patent/TWI760555B/zh
Priority to US16/118,982 priority patent/US10566209B2/en
Priority to CN201811019388.4A priority patent/CN109427576B/zh
Publication of JP2019046994A publication Critical patent/JP2019046994A/ja
Application granted granted Critical
Publication of JP6883495B2 publication Critical patent/JP6883495B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Description

本開示の実施形態は、エッチング方法に関するものである。
電子デバイスの製造においては、プラズマによるシリコン含有膜のエッチングが行われている。シリコン含有膜は、酸化シリコン、窒化シリコンといったシリコン含有材料から形成されている。例えば、三次元構造を有するNAND型フラッシュメモリの製造においては、シリコン含有膜として、交互に積層された複数のシリコン酸化膜及び複数のシリコン窒化膜を含む多層膜のエッチングが行われている。シリコン含有膜のエッチングでは、マスクとして、アモルファスカーボンといった炭素を含有するマスクが用いられている。マスクには、開口が形成されている。
多層膜のエッチングについては、特許文献1に記載されている。特許文献1に記載されたエッチングでは、ハイドロフルオロカーボンガスのプラズマが生成され、プラズマからのフッ素の活性種により、多層膜がエッチングされる。多層膜のエッチング中には、マスク上に炭素を含有する堆積物が形成され、当該堆積物によりマスクが保護される。
米国特許出願公開第2013/0059450号明細書
上述した多層膜及び単一のシリコン酸化膜といったシリコン含有膜に、高いアスペクト比の開口を形成すること、或いは、深い開口を形成することが求められている。このような開口をシリコン含有膜に形成するためには、当該シリコン含有膜のエッチング中にエッチング耐性により優れたマスクの保護が必要となる。
一態様においては、シリコン含有膜のエッチング方法が提供される。シリコン含有膜は、単一のシリコン酸化膜、又は、交互に積層された複数のシリコン酸化膜及び複数のシリコン窒化膜を有する。エッチング方法は、シリコン含有膜を有する被加工物がプラズマ処理装置のチャンバ本体内に配置された状態で実行される。被加工物は、シリコン含有膜上に設けられたマスクを更に有する。マスクは炭素を含有し、マスクには開口が形成されている。このエッチング方法は、被加工物上にタングステン膜を形成する工程と、シリコン含有膜をエッチングする工程と、を含む。タングステン膜を形成する工程は、被加工物上にタングステンを含有する前駆体を堆積させるために、被加工物に、タングステンを含有する前駆体ガスを供給する工程と、被加工物上の前駆体に水素の活性種を供給するために、水素ガスのプラズマを生成する工程と、を含む。シリコン含有膜をエッチングする工程では、シリコン含有膜をエッチングするためにチャンバ本体内でフッ素、水素、及び、炭素を含む処理ガスのプラズマが生成される。
一態様に係るエッチング方法では、タングステン膜がマスク上に形成される。したがって、エッチング中に、マスクは、炭素含有物質よりもエッチング耐性に優れた材料で保護される。ところで、プラズマを用いない原子層堆積法によるタングステン膜の形成では、前駆体中の不純物の除去のための反応を生じさせるために、被加工物の温度は、通常、250℃以上の温度に設定される。一方、一態様に係るエッチング方法では、水素ガスのプラズマからの水素の活性種によって前駆体中の不純物が除去されてタングステン膜が形成されるので、タングステン膜を形成する工程の実行中の被加工物の温度が低い温度に設定され得る。ここで、シリコン含有膜のエッチングレートは、被加工物の温度が低いほど、高くなる。したがって、一態様に係るエッチング方法では、タングステン膜を形成する工程の実行中の被加工物の温度とシリコン含有膜をエッチングする工程の実行中の被加工物の温度とを比較的低い温度に設定することにより、タングステン膜を形成する工程の実行中の被加工物の温度とシリコン含有膜をエッチングする工程の実行中の被加工物の温度との差を少なくすることができる。故に、タングステン膜を形成する工程とシリコン含有膜をエッチングする工程との間で、被加工物の温度を変更する必要がないか、被加工物の温度を変更する時間を短くすることができる。その結果、タングステン膜の形成とシリコン含有膜のエッチングを含むプロセスのスループットが高められ得る。
一実施形態において、タングステン膜を形成する工程とシリコン含有膜をエッチングする工程とが交互に繰り返される。
一実施形態のタングステン膜を形成する工程において、前駆体ガスを供給する工程と水素ガスのプラズマを生成する工程とが交互に繰り返される。
一実施形態のタングステン膜を形成する工程及びシリコン含有膜をエッチングする工程において、被加工物の温度が0℃以下の温度に設定される。一実施形態のタングステン膜を形成する工程及びシリコン含有膜をエッチングする工程において、被加工物の温度が−20℃以下の温度に設定される。
一実施形態において、前駆体ガスは、ハロゲン化タングステンガスである。一実施形態において、前駆体ガスは、六フッ化タングステンガスである。
以上説明したように、シリコン含有膜のエッチング中にエッチング耐性により優れたマスクの保護が可能となる。
一実施形態に係るエッチング方法を示す流れ図である。 図1に示すエッチング方法が適用され得る一例の被加工物の一部拡大断面図である。 図1に示すエッチング方法の実行に用いることが可能なプラズマ処理装置を例示する図である。 図1に示すエッチング方法の工程ST1のタイミングチャートである。 図1に示すエッチング方法の実行中にその上にタングステン膜が形成された状態の被加工物の一部拡大断面図である。 図1に示すエッチング方法の実行中にシリコン含有膜が部分的にエッチングされた状態の被加工物の一部拡大断面図である。 図1に示すエッチング方法が適用された後の状態の被加工物の一部拡大断面図である。 第1の実験の結果を示すグラフである。 第2の実験において測定した寸法を示す図である。 第2の実験の結果を示すグラフである。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態に係るエッチング方法を示す流れ図である。図1に示すエッチング方法(以下、「方法MT」という)は、シリコン含有膜をエッチングするために実行される。図2は、図1に示すエッチング方法が適用され得る一例の被加工物の一部拡大断面図である。図2に示す一例の被加工物Wは、シリコン含有膜SFを有している。シリコン含有膜SFは、下地層UL上に設けられている。
シリコン含有膜SFは、複数の第1の膜F1及び複数の第2の膜F2を有している。複数の第1の膜F1及び複数の第2の膜F2は、交互に積層されている。複数の第1の膜F1は、酸化シリコンから形成されている。複数の第2の膜F2は、窒化シリコンから形成されている。即ち、被加工物Wは、交互に積層された複数のシリコン酸化膜及び複数のシリコン窒化膜を有している。図2に示す例では、第1の膜F1が、下地層ULの直上に設けられた最下層の膜であるが、第2の膜F2が下地層ULの直上に設けられた最下層の膜であってもよい。また、図2に示す例では、第1の膜F1がマスクMKの直下に設けられた最上層の膜であるが、第2の膜F2が下地層ULの直下に設けられた最上層の膜であってもよい。
被加工物Wは、マスクMKを更に有する。マスクMKは、シリコン含有膜SF上に設けられている。マスクMKは、炭素を含有する材料から形成されている。マスクMKは、アモルファスカーボン製のマスクであり得る。マスクMKには、開口OMが形成されている。開口OMは、シリコン含有膜SFの表面を部分的に露出させている。開口OMは、ホール又はトレンチである。方法MTでは、マスクMKのパターンがプラズマによるエッチングによりシリコン含有膜SFに転写される。
以下、図2に示す被加工物Wに方法MTが適用される場合を例として、方法MTについて説明する。しかしながら、方法MTが適用される被加工物は、図2に示す被加工物に限定されるものではない。方法MTは、被加工物Wがプラズマ処理装置のチャンバ本体内に配置された状態で実行される。
図3は、図1に示すエッチング方法の実行に用いることが可能なプラズマ処理装置を例示する図である。図3に示すプラズマ処理装置10は、容量結合型のプラズマエッチング装置である。プラズマ処理装置10は、チャンバ本体12を備えている。チャンバ本体12は、略円筒形状を有しており、内部空間12sを提供している。チャンバ本体12は、例えばアルミニウムから形成されている。チャンバ本体12の内壁面には、耐プラズマ性を有する処理が施されている。例えば、チャンバ本体12の内壁面には、陽極酸化処理が施されている。チャンバ本体12は、電気的に接地されている。
チャンバ本体12の側壁には、通路12pが形成されている。被加工物Wは、内部空間12sの中に搬入されるとき、また、内部空間12sから搬出されるときに、通路12pを通る。この通路12pは、ゲートバルブ12gにより開閉可能となっている。
チャンバ本体12の底部上には、支持部13が設けられている。支持部13は、絶縁材料から形成されている。支持部13は、略円筒形状を有している。支持部13は、内部空間12sの中で、チャンバ本体12の底部から鉛直方向に延在している。支持部13は、ステージ14を支持している。ステージ14は、内部空間12sの中に設けられている。
ステージ14は、下部電極18及び静電チャック20を有している。ステージ14は、電極プレート16を更に備え得る。電極プレート16は、例えばアルミニウムといった導電性材料から形成されており、略円盤形状を有している。下部電極18は、電極プレート16上に設けられている。下部電極18は、例えばアルミニウムといった導電性材料から形成されており、略円盤形状を有している。下部電極18は、電極プレート16に電気的に接続されている。
静電チャック20は、下部電極18上に設けられている。静電チャック20の上面の上には、被加工物Wが載置される。静電チャック20は、誘電体から形成された本体を有する。静電チャック20の本体内には、膜状の電極が設けられている。静電チャック20の電極は、スイッチを介して直流電源22に接続されている。静電チャック20の電極に直流電源22からの電圧が印加されると、静電チャック20と被加工物Wとの間で静電引力が発生する。発生した静電引力により、被加工物Wは静電チャック20に引き付けられ、当該静電チャック20によって保持される。
下部電極18の周縁部上には、被加工物Wのエッジを囲むように、フォーカスリングFRが配置される。フォーカスリングFRは、エッチングの均一性を向上させるために設けられている。フォーカスリングFRは、限定されるものではないが、シリコン、炭化シリコン、又は、石英から形成され得る。
下部電極18の内部には、流路18fが設けられている。流路18fには、チャンバ本体12の外部に設けられているチラーユニット26から配管26aを介して冷媒が供給される。流路18fに供給された冷媒は、配管26bを介してチラーユニット26に戻される。プラズマ処理装置10では、静電チャック20上に載置された被加工物Wの温度が、冷媒と下部電極18との熱交換により、調整される。
プラズマ処理装置10には、ガス供給ライン28が設けられている。ガス供給ライン28は、伝熱ガス供給機構からの伝熱ガス、例えばHeガスを、静電チャック20の上面と被加工物Wの裏面との間に供給する。
プラズマ処理装置10は、上部電極30を更に備えている。上部電極30は、ステージ14の上方に設けられている。上部電極30は、部材32を介して、チャンバ本体12の上部に支持されている。部材32は、絶縁性を有する材料から形成されている。上部電極30は、天板34及び支持体36を含み得る。天板34の下面は、内部空間12s側の下面であり、内部空間12sを画成している。天板34は、ジュール熱の少ない低抵抗の導電体又は半導体から形成され得る。天板34には、複数のガス吐出孔34aが形成されている。複数のガス吐出孔34aは、当該天板34をその板厚方向に貫通している。
支持体36は、天板34を着脱自在に支持するものであり、アルミニウムといった導電性材料から形成され得る。支持体36の内部には、ガス拡散室36aが設けられている。ガス拡散室36aからは、複数のガス吐出孔34aにそれぞれ連通する複数のガス通流孔36bが下方に延びている。支持体36には、ガス拡散室36aに処理ガスを導くガス導入口36cが形成されている。ガス導入口36cには、ガス供給管38が接続されている。
ガス供給管38には、バルブ群42及び流量制御器群44を介して、ガスソース群40が接続されている。ガスソース群40は、複数のガスソースを含んでいる。複数のガスソースは、方法MTで利用される処理ガスを構成する複数のガスのソースを含んでいる。バルブ群42は、複数の開閉バルブを含んでいる。流量制御器群44は、複数の流量制御器を含んでいる。複数の流量制御器の各々は、マスフローコントローラ又は圧力制御式の流量制御器である。ガスソース群40の複数のガスソースは、バルブ群42の対応のバルブ、及び、流量制御器群44の対応の流量制御器を介してガス供給管38に接続されている。
プラズマ処理装置10では、チャンバ本体12の内壁に沿って、シールド46が着脱自在に設けられている。シールド46は、支持部13の外周にも設けられている。シールド46は、チャンバ本体12にエッチング副生物が付着することを防止する。シールド46は、例えば、アルミニウム材にY等のセラミックスを被覆することにより構成される。
支持部13とチャンバ本体12の側壁との間には、バッフルプレート48が設けられている。バッフルプレート48は、例えば、アルミニウム製の母材にY等のセラミックスを被覆することにより構成される。バッフルプレート48には、複数の貫通孔が形成されている。バッフルプレート48の下方、且つ、チャンバ本体12の底部には、排気口12eが設けられている。排気口12eには、排気管52を介して排気装置50が接続されている。排気装置50は、圧力制御弁、及び、ターボ分子ポンプといった真空ポンプを有している。
プラズマ処理装置10は、第1の高周波電源62及び第2の高周波電源64を更に備えている。第1の高周波電源62は、プラズマ生成用の第1の高周波を発生する電源である。第1の高周波の周波数は、例えば、27MHz〜100MHzの範囲内の周波数である。第1の高周波電源62は、整合器66及び電極プレート16を介して下部電極18に接続されている。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(下部電極18側)の入力インピーダンスを整合させるための回路を有している。なお、第1の高周波電源62は、整合器66を介して、上部電極30に接続されていてもよい。
第2の高周波電源64は、被加工物Wにイオンを引き込むための第2の高周波を発生する電源である。第2の高周波の周波数は、第1の高周波の周波数よりも低い。第2の高周波の周波数は、例えば400kHz〜13.56MHzの範囲内の周波数である。第2の高周波電源64は、整合器68及び電極プレート16を介して下部電極18に接続されている。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極18側)の入力インピーダンスを整合させるための回路を有している。
プラズマ処理装置10は、直流電源部70を更に備え得る。直流電源部70は、上部電極30に接続されている。直流電源部70は、負の直流電圧を発生し、当該直流電圧を上部電極30に与えることが可能である。
プラズマ処理装置10は、制御部Cntを更に備え得る。制御部Cntは、プロセッサ、記憶部、入力装置、表示装置等を備えるコンピュータであり得る。制御部Cntは、プラズマ処理装置10の各部を制御する。制御部Cntでは、入力装置を用いて、オペレータがプラズマ処理装置10を管理するためにコマンドの入力操作等を行うことができる。また、制御部Cntでは、表示装置により、プラズマ処理装置10の稼働状況を可視化して表示することができる。さらに、制御部Cntの記憶部には、プラズマ処理装置10で実行される各種処理をプロセッサにより制御するための制御プログラム、及び、レシピデータが格納されている。制御部Cntのプロセッサが制御プログラムを実行して、レシピデータに従ってプラズマ処理装置10の各部を制御することにより、方法MTがプラズマ処理装置10で実行される。
再び図1を参照し、プラズマ処理装置10が用いられる場合を例として、方法MTについて説明する。しかしながら、方法MTの実行において用いられるプラズマ処理装置は、プラズマ処理装置10に限定されるものではない。以下の説明では、図1に加えて図4〜図7を参照する。図4は、図1に示すエッチング方法の工程ST1のタイミングチャートである。図4において、横軸は、時間を示している。また、図4において、縦軸は、キャリアガスの流量、前駆体ガスの流量、水素ガスの流量、及び、高周波の状態を示している。図4において、高周波がONであることは、プラズマの生成のために少なくとも第1の高周波が供給されていることを表しており、高周波がOFFであることは、第1の高周波及び第2の高周波の供給が停止されていることを示している。図5は、図1に示すエッチング方法の実行中にその上にタングステン膜が形成された状態の被加工物の一部拡大断面図である。図6は、図1に示すエッチング方法の実行中にシリコン含有膜が部分的にエッチングされた状態の被加工物の一部拡大断面図である。図7は、図1に示すエッチング方法が適用された後の状態の被加工物の一部拡大断面図である。
方法MTでは、工程ST1が実行される。工程ST1では、被加工物W上にタングステン膜WFが形成される。工程ST1では、タングステン膜WFを形成するために、サイクルCYが一回以上実行される。各サイクルCYは、工程ST11及び工程ST13を含んでいる。工程ST1において、サイクルCYが複数回実行される場合には、工程ST11と工程ST13は交互に実行される。一実施形態では、各サイクルCYは、工程ST11と工程ST13との間で実行される工程ST12を含んでいる。また、各サイクルCYは、工程ST13の後に実行される工程ST14を含んでいる。
工程ST11では、被加工物W上にタングステンを含有する前駆体を堆積させるために、被加工物Wに前駆体ガスが供給される。即ち、チャンバ本体12の内部空間12sに前駆体ガスが供給される。前駆体ガスは、タングステンを含有する。前駆体ガスは、ハロゲン化タングステンガスであり得る。一例の前駆体ガスは、六フッ化タングステン(WF)ガスである。前駆体ガスは、六塩化タングステンガスといった他のハロゲン化タングステンガス、又は、別のタングステン含有ガスであってもよい。工程ST11では、プラズマは生成されない。即ち、工程ST11では、第1の高周波及び第2の高周波の供給が停止される。
工程ST11では、前駆体ガスと共にキャリアガスが内部空間12sに供給されてもよい。キャリアガスは、Heガス、Neガス、Arガス、Xeガス、Krガスといった希ガスであり得る。一実施形態では、キャリアガスは、図4に示すように、工程ST1の実行期間にわたって内部空間12sに供給されてもよい。工程ST11では、前駆体ガスの流量は、100sccm以上、300sccm以下の流量に設定され得る。工程ST11では、キャリアガスの流量は、0sccm以上、3000sccm以下の流量に設定され得る。また、工程ST11では、内部空間12sの圧力は、0.02Torr(2.6Pa)以上、3Torr(400Pa)以下の圧力に設定され得る。
続く工程ST12では、内部空間12sのパージが実行される。具体的に、工程ST12では、内部空間12sの排気が実行される。工程ST12では、パージガスとしてキャリアガスが内部空間12sに供給されてもよい。工程ST12の実行により、内部空間12sの中の前駆体ガスが排出され、被加工物W上に過剰に堆積していた前駆体が除去される。
続く工程ST13では、被加工物W上の前駆体に水素の活性種を供給するために、水素ガス(Hガス)のプラズマが内部空間12sの中で生成される。工程ST13において水素ガスのプラズマを生成するために、図4に示すように、工程ST12の実行後、且つ、工程ST13の実行前に、内部空間12sへの水素ガスの供給が開始される。水素ガスの供給が開始されてから所定時間が経過した後に、工程ST13の実行が開始される。水素ガスの供給は、工程ST13の終了時まで継続する。水素ガスが内部空間12sに供給されているときには、キャリアガスも内部空間12sに供給され得る。
工程ST13では、内部空間12sに水素ガスが供給されている状態で、第1の高周波が下部電極18(又は上部電極30)に供給される。これにより、内部空間12sの中で水素ガスのプラズマが生成される。工程ST13では、第2の高周波が下部電極18に供給されてもよい。工程ST13では、プラズマからの水素の活性種、即ち、水素のイオン及び/又はラジカルにより、前駆体中の不純物が除去される。前駆体ガスがハロゲン化タングステンガスである場合には、前駆体中のハロゲン元素と水素との反応により、前駆体からハロゲン元素が除去される。
工程ST13では、水素ガスの流量は、100sccm以上、3000sccm以下の流量に設定され得る。工程ST13では、キャリアガスの流量は、0sccm以上、3000sccm以下の流量に設定され得る。工程ST13では、内部空間12sの圧力は、0.02Torr(2.6Pa)以上、3Torr(400Pa)以下の圧力に設定され得る。工程ST13では、第1の高周波の電力は、20W以上、3000W以下の電力に設定され得る。また、工程ST13では、第2の高周波の電力は、0W以上、200W以下の電力に設定され得る。
続く工程ST14では、内部空間12sのパージが実行される。具体的に、工程ST14では、内部空間12sの排気が実行される。工程ST14では、パージガスとして、キャリアガスが内部空間12sに供給されてもよい。工程ST14の実行により、内部空間12sの中の水素ガスが排出される。
続く工程ST2では、停止条件が満たされるか否かが判定される。停止条件はサイクルCYの実行回数が所定回数に達している場合に満たされるものと判定される。所定回数は、1回以上の回数である。工程ST2において停止条件が満たされていないと判定されると、再びサイクルCYが実行される。一方、工程ST2において停止条件が満たされていると判定されると、工程ST1の実行が停止する。工程ST1の実行により、被加工物Wの表面上、特にマスクMKの表面上にタングステン膜WFが形成される(図5参照)。
一実施形態において、工程ST1の実行中の被加工物Wの温度は0℃以下の温度に設定される。更なる実施形態において、工程ST1の実行中の被加工物Wの温度は、−20℃以下の温度に設定される。被加工物Wの温度は、流路18fに供給される冷媒の温度の調整により制御される。
工程ST1の実行が停止すると、処理は工程ST3に移る。工程ST3では、シリコン含有膜SFをエッチングするために、チャンバ本体12内で処理ガスのプラズマが生成される。処理ガスは、フッ素、水素、及び、炭素を含む。処理ガスは、水素を含有するガスとして、Hガス、CxHyガス(炭化水素ガス)、及び、CxHyFzガス(ハイドロフルオロカーボンガス)のうち一種以上のガスを含む。また、処理ガスは、フッ素含有ガスを含む。フッ素含有ガスは、HFガス、NFガス、SFガス、WFガス、CxFガス(フルオロカーボンガス)、及び、CxHyFzガス、のうち一種以上のガスを含む。処理ガスは、炭素を含有するガスとして、CxHyガス(炭化水素ガス)、及び、CxHyFzガス(ハイドロフルオロカーボンガス)のうち一種以上のガスを含む。ここで、x、y、zは、自然数である。なお、処理ガスは、HBrガスといったハロゲン含有ガスを更に含んでいてもよい。また、処理ガスは、Oガス、COガス、COガスといった酸素含有ガスを含んでいてもよい。一実施形態では、処理ガスは、水素ガス、ハイドフルオロカーボンガス、及び、フッ素含有ガスを含む混合ガスである。
工程ST3では、内部空間12sに処理ガスが供給されている状態で、第1の高周波が下部電極18(又は上部電極30)に供給される。これにより、内部空間12sの中で処理ガスのプラズマが生成される。工程ST3では、第2の高周波が下部電極18に供給される。工程ST3では、プラズマからのフッ素の活性種により、シリコン含有膜SFがマスクMKから露出されている箇所でエッチングされる。その結果、シリコン含有膜SFに開口OPが形成される(図6参照)。
工程ST3では、内部空間12sの圧力は、0.005Torr(0.7Pa)以上、0.1Torr(13.3Pa)以下の圧力に設定され得る。工程ST3では、第1の高周波の電力は、500W以上、6000W以下の電力に設定され得る。また、工程ST3では、第2の高周波の電力は、0W以上、15000W以下の電力に設定され得る。
一実施形態の工程ST3では、被加工物Wの温度は、工程ST1の実行中の被加工物Wの温度と略同一の温度に設定される。一実施形態では、工程ST3の実行中の被加工物Wの温度は、0℃以下の温度に設定される。更なる実施形態において、工程ST3の実行中の被加工物Wの温度は、−20℃以下の温度に設定される。被加工物Wの温度は、流路18fに供給される冷媒の温度の調整により制御される。
続く工程ST4では、方法MTの実行を終了するか否かが判定される。工程ST4では、工程ST1と工程ST3を含むサイクルの実行回数が所定回数に達している場合に方法MTを終了するべきと判定される。所定回数は1回以上の回数である。工程ST4において方法MTの実行を終了しないと判定される場合には、再び工程ST1及び工程ST3を含むサイクルが実行される。一方、方法MTの実行が終了すると、被加工物Wは、例えば図7に示す状態になる。図7に示す状態では、下地層ULの表面まで延びる開口OPがシリコン含有膜SFに形成されている。なお、図7においては、タングステン膜WFが省略されている。
方法MTでは、上述したように、タングステン膜WFがマスクMK上に形成される。したがって、工程ST3におけるエッチング中に、マスクMKは、炭素含有物質よりもエッチング耐性に優れた材料で保護される。ところで、プラズマを用いない原子層堆積法によるタングステン膜の形成では、前駆体中の不純物の除去のための反応を生じさせるために、被加工物の温度は、通常、250℃以上の温度に設定される。一方、方法MTでは、水素ガスのプラズマからの水素の活性種によって前駆体中の不純物が除去されてタングステン膜WFが形成されるので、工程ST1の実行中の被加工物Wの温度が低い温度に設定され得る。ここで、シリコン含有膜SFのエッチングレートは、被加工物Wの温度が低いほど、高くなる。したがって、方法MTでは、工程ST1の実行中の被加工物の温度と工程ST3の実行中の被加工物の温度とを比較的低い温度に設定することにより、工程ST1の実行中の被加工物の温度と工程ST3の実行中の被加工物の温度との差を少なくすることができる。故に、工程ST1と工程ST3との間で、被加工物Wの温度を変更する必要がないか、被加工物Wの温度を変更する時間を短くすることができる。その結果、タングステン膜WFの形成とシリコン含有膜SFのエッチングを含むプロセスのスループットが高められ得る。
一実施形態では、工程ST1と工程ST3を含むサイクルが複数回実行される。即ち、工程ST1と工程ST3が交互に実行される。この実施形態によれば、タングステンをマスクMK上に補充しつつ、シリコン含有膜SFのエッチングを進行させることができる。また、シリコン含有膜SFのエッチングの進行につれてマスクMKの膜厚が減少すると、マスクMKの直下におけるシリコン含有膜SFの側壁面上にもタングステン膜WFが形成され得る。その結果、マスクMKの直下におけるシリコン含有膜SFの横方向のエッチングが抑制される。
以上、種々の実施形態について説明してきたが、上述した実施形態に限定されることなく種々の変形態様を構成可能である。例えば、方法MTは、誘導結合型のプラズマ処理装置、マイクロ波といった表面波によりプラズマを生成するプラズマ処理装置といった任意のプラズマ処理装置を用いて実行することも可能である。また、シリコン含有膜SFは、単一のシリコン酸化膜のみから構成されていてもよい。
以下、方法MTの評価のために行った実験について説明する。なお、本開示の内容は、以下に説明する実験に限定されるものではない。
(第1の実験)
第1の実験では、複数のサンプルのシリコン酸化膜をエッチングした。第1の実験では、複数のサンプルのシリコン酸化膜のエッチング時の温度を互いに異なる温度に設定した。各サンプルは、下地層、及び、当該下地の平坦な表面上に一様な膜厚で形成されたシリコン酸化膜を有していた。シリコン酸化膜のエッチングには、プラズマ処理装置10を用いた。第1の実験におけるエッチングの条件を以下に示す。
<第1の実験におけるエッチングの条件>
内部空間12sの圧力:25mTorr(3,333Pa)
第1の高周波:100MHz、2.3kW
第2の高周波:3MHz、1kW
処理ガス:Hガス、CFガス、CHガス、及び、NFガスの混合ガス
第1の実験では、各サンプルについて、エッチング時間とシリコン酸化膜の膜厚の減少量からシリコン酸化膜のエッチングレートを求めた。そして、シリコン酸化膜のエッチング時の温度とシリコン酸化膜のエッチングレートとの関係を求めた。図8に第1の実験の結果を示す。図8において横軸はサンプルの温度を示しており、縦軸はエッチングレートを示している。図8に示すように、シリコン酸化膜のエッチングレートは、サンプルの温度が0℃以下の温度である場合に、相当に大きくなっていた。また、サンプルの温度が低いほど、シリコン酸化膜のエッチングレートは高くなっていた。かかる第1の実験により、被加工物の温度が0℃以下の温度に設定されることにより、シリコン含有膜の高いエッチングレートを得ることができることが確認された。また、被加工物の温度が低いほど、シリコン含有膜のエッチングレートが高くなることが確認された。
(第2の実験)
第2の実験では、工程ST1を実行することにより、複数のサンプル上にタングステン膜WFを形成した。第2の実験では、工程ST1の実行時の複数のサンプルの温度を互いに異なる温度に設定した。各サンプルは、下地層、及び、当該下地層上に設けられたマスクEMKを有していた。マスクEMKは、アモルファスカーボン製のマスクであり、ラインアンドスペースパターンを有していた。工程ST1の実行には、プラズマ処理装置10を用いた。第2の実験における工程ST1の条件を以下に示す。
<第2の実験における工程ST1の条件>
工程ST11
内部空間12sの圧力:800mTorr(107Pa)
WFガスの流量:170sccm
キャリアガス(Arガス)の流量:600sccm
処理時間:10秒
工程ST12
内部空間12sの圧力:800mTorr(107Pa)
キャリアガス(Arガス)の流量:800sccm
処理時間:30秒
工程ST13
内部空間12sの圧力:800mTorr(107Pa)
ガスの流量:500sccm
キャリアガス(Arガス)の流量:600sccm
第1の高周波:100MHz、500W
第2の高周波:0W
処理時間:3秒
工程ST14
内部空間12sの圧力:800mTorr(107Pa)
キャリアガス(Arガス)の流量:800sccm
処理時間:30秒
サイクルCYの実行回数:30回
図9は、第2の実験において測定した寸法を示す図である。第2の実験では、各サンプル上に形成されたタングステン膜WFの膜厚FTa、FTb、FTc、FTdをそれぞれ求めた。膜厚FTaは、マスクEMKの上面の上でのタングステン膜WFの膜厚である。膜厚FTbは、マスクEMKの上面を含む横断面上でのタングステン膜WFの横方向の膜厚である。膜厚FTcは、マスクEMK及びタングステン膜WFによって提供されているスペースMSの幅が最小である横断面上でのタングステン膜WFの横方向の膜厚である。膜厚FTdは、マスクEMKの上面から下方に150nmの距離を有する横断面上でのタングステン膜WFの膜厚である。図10に第2の実験の結果を示す。図10において、横軸は、工程ST1の実行時のサンプルの温度を示しており、縦軸はタングステン膜の膜厚を示している。図10に示すように、工程ST1によれば、サンプルの温度が20℃以下の温度である場合に、タングステン膜をマスクEMK上に形成することが可能であることが確認された。即ち、工程ST1によれば、プラズマを利用しない原子層堆積法によってタングステン膜を形成する場合の被加工物の温度(通常250℃以上)よりも相当に低い温度に被加工物の温度が設定された状態で、当該被加工物上にタングステン膜を形成することが可能であることが確認された。また、被加工物の温度が−20℃以下の温度に設定された状態では、大きい膜厚を有するタングステン膜を形成可能であることが確認された。以上説明した第1の実験及び第2の実験の結果、方法MTの工程ST1及び工程ST3の実行時の被加工物Wの温度は、0℃以下であることが望ましく、−20℃以下であることがより望ましいことが確認された。
10…プラズマ処理装置、12…チャンバ本体、12s…内部空間、14…ステージ、18…下部電極、20…静電チャック、26…チラーユニット、30…上部電極、50…排気装置、62…第1の高周波電源、64…第2の高周波電源、W…被加工物、SF…シリコン含有膜、F1…第1の膜、F2…第2の膜、MK…マスク、OM…開口、WF…タングステン膜。

Claims (7)

  1. 単一のシリコン酸化膜、又は、交互に積層された複数のシリコン酸化膜及び複数のシリコン窒化膜を有するシリコン含有膜のエッチング方法であって、
    該エッチング方法は、前記シリコン含有膜を有する被加工物がプラズマ処理装置のチャンバ本体内に配置された状態で実行され、
    前記被加工物は、前記シリコン含有膜上に設けられたマスクを更に有し、該マスクは炭素を含有し、該マスクには開口が形成されており、
    該エッチング方法は、
    前記被加工物上にタングステン膜を形成する工程であり、前記マスクの上面を含み、且つ、前記マスクの前記開口から部分的に露出した前記シリコン含有膜の表面以外の領域に、該タングステン膜を形成する、該工程と、
    前記シリコン含有膜をエッチングする工程と、
    を含み、
    タングステン膜を形成する前記工程は、
    前記被加工物上にタングステンを含有する前駆体を堆積させるために、前記被加工物に、タングステンを含有する前駆体ガスを供給する工程と、
    前記被加工物上の前記前駆体に水素の活性種を供給するために、水素ガスのプラズマを生成する工程と、
    を含み、
    前記シリコン含有膜をエッチングする前記工程では、前記シリコン含有膜をエッチングするために前記チャンバ本体内でフッ素、水素、及び、炭素を含む処理ガスのプラズマが生成される、
    エッチング方法。
  2. 単一のシリコン酸化膜、又は、交互に積層された複数のシリコン酸化膜及び複数のシリコン窒化膜を有するシリコン含有膜のエッチング方法であって、
    該エッチング方法は、前記シリコン含有膜を有する被加工物がプラズマ処理装置のチャンバ本体内に配置された状態で実行され、
    前記被加工物は、前記シリコン含有膜上に設けられたマスクを更に有し、該マスクは炭素を含有し、該マスクには開口が形成されており、
    該エッチング方法は、
    前記被加工物上にタングステン膜を形成する工程と、
    前記シリコン含有膜をエッチングする工程と、
    を含み、
    タングステン膜を形成する前記工程は、
    前記被加工物上にタングステンを含有する前駆体を堆積させるために、前記被加工物に、タングステンを含有する前駆体ガスを供給する工程と、
    前記被加工物上の前記前駆体に水素の活性種を供給するために、水素ガスのプラズマを生成する工程と、
    を含み、
    前記シリコン含有膜をエッチングする前記工程では、前記シリコン含有膜をエッチングするために前記チャンバ本体内でフッ素、水素、及び、炭素を含む処理ガスのプラズマが生成され、
    タングステン膜を形成する前記工程、及び、前記シリコン含有膜をエッチングする前記工程において、前記被加工物の温度が0℃以下の温度に設定される、
    エッチング方法。
  3. タングステン膜を形成する前記工程と前記シリコン含有膜をエッチングする前記工程とが交互に繰り返される、請求項1又は2に記載のエッチング方法。
  4. タングステン膜を形成する前記工程において、前駆体ガスを供給する前記工程と水素ガスのプラズマを生成する前記工程とが交互に繰り返される、請求項1〜3の何れか一項に記載のエッチング方法。
  5. タングステン膜を形成する前記工程、及び、前記シリコン含有膜をエッチングする前記工程において、前記被加工物の温度が−20℃以下の温度に設定される、請求項1〜4の何れか一項に記載のエッチング方法。
  6. 前記前駆体ガスは、ハロゲン化タングステンガスである、請求項1〜5の何れか一項に記載のエッチング方法。
  7. 前記前駆体ガスは、六フッ化タングステンガスである、請求項1〜5の何れか一項に記載のエッチング方法。
JP2017169464A 2017-09-04 2017-09-04 エッチング方法 Active JP6883495B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2017169464A JP6883495B2 (ja) 2017-09-04 2017-09-04 エッチング方法
KR1020180102762A KR102626802B1 (ko) 2017-09-04 2018-08-30 에칭 방법
TW107130215A TWI760555B (zh) 2017-09-04 2018-08-30 蝕刻方法
US16/118,982 US10566209B2 (en) 2017-09-04 2018-08-31 Etching method and workpiece processing method
CN201811019388.4A CN109427576B (zh) 2017-09-04 2018-09-03 蚀刻方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017169464A JP6883495B2 (ja) 2017-09-04 2017-09-04 エッチング方法

Publications (2)

Publication Number Publication Date
JP2019046994A JP2019046994A (ja) 2019-03-22
JP6883495B2 true JP6883495B2 (ja) 2021-06-09

Family

ID=65514812

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017169464A Active JP6883495B2 (ja) 2017-09-04 2017-09-04 エッチング方法

Country Status (5)

Country Link
US (1) US10566209B2 (ja)
JP (1) JP6883495B2 (ja)
KR (1) KR102626802B1 (ja)
CN (1) CN109427576B (ja)
TW (1) TWI760555B (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10515821B1 (en) 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10741407B2 (en) * 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210072826A (ko) * 2018-11-05 2021-06-17 램 리써치 코포레이션 에칭 챔버의 방향성 증착
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
EP4050641A4 (en) * 2019-11-08 2023-12-13 Tokyo Electron Limited ENGRAVING PROCESS
KR20220099116A (ko) * 2019-11-12 2022-07-12 어플라이드 머티어리얼스, 인코포레이티드 감소된 수소 증착 프로세스들
WO2021118862A2 (en) * 2019-12-13 2021-06-17 Lam Research Corporation Multi-state pulsing for achieving a balance between bow control and mask selectivity
CN111154490A (zh) * 2020-01-02 2020-05-15 长江存储科技有限责任公司 刻蚀气体、刻蚀方法及3d存储器件制造方法
CN115244663A (zh) * 2020-02-28 2022-10-25 朗姆研究公司 高深宽比3d nand蚀刻的侧壁凹陷的减少
TW202232567A (zh) * 2020-10-30 2022-08-16 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置
KR20240003432A (ko) * 2021-05-07 2024-01-09 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JP7099675B1 (ja) 2021-07-27 2022-07-12 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
KR20240033271A (ko) * 2021-07-27 2024-03-12 도쿄엘렉트론가부시키가이샤 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595608A (en) * 1984-11-09 1986-06-17 Harris Corporation Method for selective deposition of tungsten on silicon
US7125809B1 (en) * 2000-08-31 2006-10-24 Micron Technology, Inc. Method and material for removing etch residue from high aspect ratio contact surfaces
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
TWI313059B (ja) * 2000-12-08 2009-08-01 Sony Corporatio
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US8357267B2 (en) * 2005-10-26 2013-01-22 Sharp Kabushiki Kaisha Film producing method using atmospheric pressure hydrogen plasma, and method and apparatus for producing refined film
CN100422394C (zh) * 2006-03-20 2008-10-01 中国科学院物理研究所 一种在Si(111)衬底上制备高质量ZnO单晶薄膜的方法
KR100808056B1 (ko) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 하드마스크를 이용한 패턴 형성 방법
JP2010059488A (ja) * 2008-09-03 2010-03-18 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2012077983A (ja) 2010-09-30 2012-04-19 Daikin Industries Ltd 冷凍回路
US8598040B2 (en) 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
CN103258824B (zh) * 2012-02-20 2016-02-17 中芯国际集成电路制造(上海)有限公司 闪存的存储单元及形成方法
JP2014086500A (ja) * 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
JP6329839B2 (ja) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6498022B2 (ja) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9659788B2 (en) * 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
JP6514138B2 (ja) * 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10347498B2 (en) * 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法

Also Published As

Publication number Publication date
CN109427576B (zh) 2023-03-10
JP2019046994A (ja) 2019-03-22
US20190074191A1 (en) 2019-03-07
US10566209B2 (en) 2020-02-18
CN109427576A (zh) 2019-03-05
TWI760555B (zh) 2022-04-11
TW201921488A (zh) 2019-06-01
KR20190026589A (ko) 2019-03-13
KR102626802B1 (ko) 2024-01-18

Similar Documents

Publication Publication Date Title
JP6883495B2 (ja) エッチング方法
CN106206286B (zh) 蚀刻方法
TWI779753B (zh) 電漿處理裝置及被處理體處理方法
JP6366454B2 (ja) 被処理体を処理する方法
TWI781309B (zh) 被加工物之處理方法
TWI697046B (zh) 蝕刻方法
JP6559430B2 (ja) 被処理体を処理する方法
JP6438831B2 (ja) 有機膜をエッチングする方法
JP6339961B2 (ja) エッチング方法
CN108878285B (zh) 蚀刻方法
JP2016076621A (ja) 被処理体を処理する方法
JP7336365B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
KR102496968B1 (ko) 에칭 방법
JP2016157793A (ja) エッチング方法
TW201818465A (zh) 被處理體之處理方法
JP2021090039A (ja) 基板処理方法及びプラズマ処理装置
JP6811202B2 (ja) エッチングする方法及びプラズマ処理装置
CN109923648B (zh) 处理被处理体的方法
KR20190079565A (ko) 에칭 방법
CN109494153B (zh) 处理被加工物的方法
JP6948181B2 (ja) 多層膜をエッチングする方法
CN112530799A (zh) 蚀刻氧化硅膜的方法及等离子体处理装置
KR20210035073A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US20190378730A1 (en) Processing method and plasma processing apparatus
CN112838002A (zh) 基板处理方法及等离子体处理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210325

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210413

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210510

R150 Certificate of patent or registration of utility model

Ref document number: 6883495

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250