CN102005372A - 制作半导体器件的方法 - Google Patents

制作半导体器件的方法 Download PDF

Info

Publication number
CN102005372A
CN102005372A CN2009101949179A CN200910194917A CN102005372A CN 102005372 A CN102005372 A CN 102005372A CN 2009101949179 A CN2009101949179 A CN 2009101949179A CN 200910194917 A CN200910194917 A CN 200910194917A CN 102005372 A CN102005372 A CN 102005372A
Authority
CN
China
Prior art keywords
laser processing
processing technique
surface region
pollutants
district
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009101949179A
Other languages
English (en)
Inventor
高大为
三重野文健
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN2009101949179A priority Critical patent/CN102005372A/zh
Priority to US12/842,017 priority patent/US8148272B2/en
Publication of CN102005372A publication Critical patent/CN102005372A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02098Cleaning only involving lasers, e.g. laser ablation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Abstract

本发明提供了一种制作半导体器件的方法,所述方法包括:提供具有表面区的半导体衬底,所述表面区具有一种或者多种污染物,所述表面区上方具有上方氧化物层;有选择地去除所述上方氧化物层,并且暴露包括所述一种或者多种污染物的所述表面区;对所述表面区进行激光处理工艺,以去除所述表面区上的所述一种或者多种污染物;并且去除所述激光处理工艺。

Description

制作半导体器件的方法
技术领域
本发明涉及集成电路及制造半导体器件的加工工艺。具体而言,本发明提供一种制作半导体器件的方法。
背景技术
集成电路已经从在单个硅芯片上制作的少数互连器件发展成数以百万计的器件。常规集成电路提供远超最初设想的性能和复杂性。为了实现复杂性和电路密度(即能够封装到给定芯片面积上的器件的数目)的改进,也称为器件“几何条件”的最小器件特征尺寸已经随着各代集成电路而变得更小。
增加的电路密度不仅改进集成电路的复杂性和性能并且还向消费者提高成本更低的部件。集成电路或者芯片制作设施可以价值数以亿计或者甚至数以十亿计的美元。每个制作设施具有一定的晶片产量,并且每个晶片之上具有一定数目的集成电路。因此,通过使集成电路的单个器件更小,可以在每个晶片上制作更多器件,因此增加制作设施的产量。使器件更小颇具挑战性,因为集成电路制作中所用各工艺具有限制。也就是说,给定工艺通常仅仅向下适用于某一特征尺寸,然后需要改变工艺或者器件布局。此外,由于器件要求越来越快的设计,所以某些常规工艺和材料存在工艺限制。
具有基于给定特征尺寸的限制的工艺例子,是形成用于MOS晶体管器件的外延材料。通常形成这样的外延材料以用于设计规则为90纳米和更小的器件。通常在蚀刻的源极/漏极区内形成包括锗硅的外延材料,以在MOS器件的沟道区中造成应变。遗憾的是,往往难以使用常规技术来形成高质量的外延锗硅材料。也就是说,随着器件尺寸减少,在制造各种应变材料时出现困难。可以在本说明书全文中并且特别是在下文中发现常规外延结构的这些和其它限制。
从上文可见,希望一种用于加工半导体器件的改进技术。
发明内容
根据本发明,提供制造半导体器件的集成电路加工技术。具体而言,本发明提供一种制造半导体器件的方法,该方法用于应变硅MOS器件的外延材料生长的半导体衬底表面区的处理方法,但是将认识到本发明具有更广泛的适用范围。
本发明的一种制作半导体器件的方法,所述方法包括:
提供具有表面区的半导体衬底,所述表面区具有一种或者多种污染物,所述表面区上方具有上方氧化物层;
有选择地去除所述上方氧化物层,并且暴露包括所述一种或者多种污染物的所述表面区;
对所述表面区进行激光处理工艺,以去除所述表面区上的所述一种或者多种污染物;并且
去除所述激光处理工艺。
其中所述一种或者多种污染物包括碳物质、氧物质、氯物质或氢物质中的一种或其组合。
其中所述有选择地去除所述上方氧化物层的方法包括:使用湿式加工工艺。
其中所述激光处理工艺包括步骤:在至少1秒时间段内,将所述表面区的温度增加至大于1000摄氏度。
其中向所述表面区在所述激光处理工艺期间维持于氩环境中。
其中向所述表面区提供所述激光处理工艺,从所述表面区起至半导体衬底3微米深度。
其中所述激光处理工艺使用单波长的激光源。
其中所述单波长的激光源波长为300nm到800nm。
其中所述上层氧化物层的材料包括原生氧化物。
其中去除所述激光处理工艺包括步骤:在少于1秒的时间段内将温度减少至300摄氏度到600摄氏度。
本发明提供了另一种制作半导体器件的方法,所述方法包括:
提供的半导体衬底,所述半导体衬底具有一定厚度的材料,和在该一定厚度的材料的一部分上提供的凹陷表面区,所述凹陷表面区具有一种或者多种污染物,所述凹陷表面区上方具有上方氧化物层;
有选择地去除所述上方氧化物层,并且暴露包括所述一种或者多种污染物的所述凹陷表面区;
对所述凹陷表面区进行激光处理工艺,以去除所述表面区上的所述一种或者多种污染物;并且
去除所述激光处理工艺。
其中所述一种或者多种污染物包括碳物质、氧物质、氯物质或氢物质中的一种或其组合。
其中所述有选择地去除所述上方氧化物层的方法包括:使用湿式加工工艺来加工。
其中,所述激光处理工艺包括步骤:在至少1秒时间段内,将所述凹陷表面区的温度增加至大于1000摄氏度。
其中向所述凹陷表面区在所述激光处理工艺期间维持于氩环境中。
其中向所述凹陷表面区提供所述激光处理工艺,从所述表面区起至半导体衬底3微米深度。
其中所述激光处理工艺使用单波长的激光源。
其中所述单波长的激光源波长为300nm到800nm。
其中还包括步骤:向经过激光处理工艺的凹陷表面区中填充物质,所述填充物质包括锗硅材料。
其中所述锗硅材料是单晶体并且使用外延反应器来沉积。
其中所述锗硅材料中硅/锗比是10%至20%。
其中所述上层氧化物层的材料包括原生氧化物。
其中所述有选择地去除所述上方氧化物层的方法包括:自对准硅凹陷蚀刻。
其中去除所述激光处理工艺包括步骤:在少于1秒的时间段内将温度减少至300摄氏度到600摄氏度。
相对于常规技术,通过本发明可以实现诸多益处。例如,本技术提供一种依赖于常规技术的易用工艺。在一些实施例中,该方法在每个晶片的管芯中提供更高的器件成品率。此外,该方法提供一种与常规工艺技术兼容的工艺而基本上无需对常规设备和工艺进行改动。优选地,根据一个具体实施例,本发明提供一种减少集成电路器件热预算的快速热处理工艺。根据实施例,可以实现这些益处中的一个或者多个益处。将在本说明书全文中并且特别是在下文中更多地描述本发明的这些和其它益处。
可以参照下文具体描述和附图更全面地理解本发明的各种附加目的、特征和优点。
附图说明
图1是根据本发明一个实施例的用于处理表面区的方法的简化流程图;
图2是根据本发明另一个实施例的用于处理表面区的方法的简化流程图;
图3和图4是根据本发明一个实施例的快速表面处理工艺方法的示意图;
图5至图9是根据本发明一个实施例的快速表面处理加工方法来制作集成电路器件的示意图。
具体实施方式
根据本发明,提供用于制造半导体器件的集成电路加工技术。具体而言,本发明提供一种用于应变硅MOS器件的外延材料生长的半导体衬底表面区处理方法,但是将认识到本发明具有更广泛的适用范围。
参照图1,根据本发明一个实施例的用于处理表面区的方法100可以概括如下:
步骤101:开始;
步骤103:提供半导体衬底;
具体的提供具有表面区的半导体衬底,该表面区具有例如碳物质的一种或者多种污染物以及污染物上方的上方氧化物层;
步骤105:加工表面区;
具体的,以有选择地去除上方氧化物层,并且暴露包括一种或者多种污染物的表面区;
步骤107:进行激光处理;
具体的,使表面区受到时间段少于1秒的激光处理工艺,以将表面区的温度增加至大于1000摄氏度,以去除表面区上的一种或者多种污染物;
步骤109:去除激光处理;
具体的,例如完全去除或者减少激光处理工艺的效果以在少于1秒的时间段内将温度减少至约300摄氏度到约600摄氏度;
步骤111:进行其它步骤;
步骤115:停止。
上述顺序的步骤提供根据本发明一个实施例的方法。如图1所示,该方法使用步骤组合,该步骤组合包括形成集成电路器件如CMOS集成电路的MOS器件的方式。
根据一个具体实施例,该方法包括使用快速热工艺来去除和/或减少污染物。
也可以提供添加步骤、去除一个或者多个步骤或者以不同顺序提供一个或者多个步骤的其它替代方法而不脱离这里权利要求的范围。可以在本说明书全文中并且特别是在下文中发现本发明的更多细节。
参照图2,在一个替代具体实施例中,本发明提供一种用于制作诸如应变硅MOS器件的半导体器件的方法,该方法在下文概括如下:
步骤201:开始;
步骤203:提供的半导体衬底;
具体的,该半导体衬底具有一定厚度的材料,和在该一定厚度的材料的一部分上提供的凹陷表面区,所述凹陷表面区上方具有上方氧化物层;(具有一种或者多种污染物);
步骤205:加工凹陷表面区;
具体的,以有选择地去除氧化物层,并且暴露包括一种或者多种污染物的凹陷表面区;
步骤207:激光处理;
具体的,使凹陷表面区受到时间段少于1秒的激光处理工艺,以将表面区的温度增加至大于1000摄氏度;
步骤209:去除污染物;
具体的,去除表面区上的一种或者多种污染物;
步骤211:去除激光处理;
具体的,例如完全去除或者减少激光处理工艺的效果,以在少于1秒的时间段内将温度减少至约300摄氏度到约600摄氏度;
步骤213:其它步骤;
步骤217:停止。
上述顺序的步骤提供根据本发明一个实施例的用于半导体衬底表面处理的方法。如2图所示,该方法使用步骤组合,该步骤组合包括形成集成电路器件如CMOS集成电路的MOS器件的方式。
其中所述一种或者多种污染物在上方外延层中造成一个或者多个缺陷。
根据一个具体实施例,该方法包括使用快速热工艺来去除和/或减少污染物。
也可以提供添加步骤、去除一个或者多个步骤或者以不同顺序提供一个或者多个步骤的其它替代方法而不脱离这里权利要求的范围。可以在本说明书全文中并且特别是在下文中发现本发明的更多细节。
图3和图4是根据本发明一个实施例的快速表面处理工艺方法的示意图。
如图3中所示,提供具有表面区303的半导体衬底301。半导体衬底可以是硅晶片、绝缘体上硅衬底等。半导体衬底301可以具有部分地制作于其上的器件。如3图所示,在一个具体实施例中,半导体衬底301具有可以是原生氧化物层的上方氧化物层305。在一个具体实施例中,表面区303具有一种或者多种污染物。一种或者多种污染物可以包括碳物质、氧物质、氯物质或氢物质等中的一种或其组合。该方法包括使表面区303受到湿式工艺以去除上方氧化物层305并且暴露一种或者多种污染物。在一个具体实施例中,湿式工艺至少使用氢氟酸物质。
如图4中所示,该方法对一种或者多种污染物401的表面区303进行激光处理工艺403。在一个具体实施例中,激光处理工艺使用提供约300nm到约800nm的单波长的适当激光源。优选地,激光处理工艺用少于一秒的时间段,将包括一种或者多种污染物的表面区303的温度增加至多于1000摄氏度。在一个优选实施例中,所述激光处理工艺期间维持于氩环境中。在一个优选实施例中,向从表面区起至3μm或者更少深度内提供激光处理工艺,以去除一种或者多种污染物。在去除激光处理工艺时,在一个具体实施例中,在一秒或者更少时间内将表面区的温度减少至约300摄氏度到约600摄氏度。
在一个具体实施例中,使激光处理工艺之后的表面区进行外延生长工艺。外延工艺在半导体衬底的表面区中提供诸如硅、锗、其组合等的晶态物质。当然可以有其它变化、修改和替代。
图5至图9是根据本发明另一个实施例的快速表面处理加工方法来制作集成电路器件的示意图。这些图仅为例子,而不应对这里的权利要求范围不适当地进行限制。本领域普通技术人员将认识到许多变化、替换和修改。
如5图所示,该方法包括提供例如硅、绝缘体上硅、外延硅的半导体衬底501。该方法包括形成第一阱区503(例如N型阱)和第二阱区505如P型阱。在衬底上的有源区之间提供包括浅沟槽隔离氧化物507的场隔离氧化物区。当然可以有其它变化、修改和替代。
在一个具体实施例中,该方法包括形成在包括第一阱区503和第二阱区505的半导体衬底501上方的电介质层509(例如二氧化硅、氮化硅、氮氧化硅)。该方法包括形成在电介质层509上方的多晶硅栅极层511。可以使用包括结晶的掺杂多晶硅、原位掺杂多晶硅和/或非晶硅的适当技术来制成多晶硅栅极层511。当然可以有其它变化、修改和替代。
在一个具体实施例中,该方法包括形成在多晶硅栅极层511上方的硬掩膜513。硬掩膜513通常由诸如二氧化硅、氮化硅、这些材料的组合等适当材料制成。该方法包括将硬掩膜层513的下的多晶硅栅极层511图案化,以形成如图6所示,在第一阱区503中的第一沟道区上方的包括第一边缘603的第一栅极结构601和在第二阱区505中的第二沟道区上方的包括第二边缘609的第二栅极结构605。第一栅极结构601对应于PMOS器件区510,而第二栅极结构605对应于NMOS器件区520。PMOS器件区往往包括通过注入和/或其它适当技术提供的轻度掺杂区519。NMOS器件区也包括往往通过注入和/或其它适当技术提供的轻度掺杂区521。
在一个优选实施例中,该方法包括:形成在第一栅极结构601和第二栅极结构605上方并且在第一阱区503中的第一源极/漏极区和第二阱区505中的第二源极/漏极区上方的衬垫层607。在一个优选实施例中,衬垫层607根据实施例包括TEOS材料和/或其它适当材料。在一个优选实施例中,TEOS层具有约100埃或更大或者150埃或更大的厚度以成为适当衬垫。可以使用诸如化学气相沉积、常压化学气相沉积、等离子体增强化学气相沉积等适当技术来沉积TEOS。当然,除了其它因素之外具体厚度还是要依赖于具体的实施例。在一个优选实施例中,衬垫层围绕包括源极/漏极区、栅极结构、隔离结构和其它暴露表面区的NMOS和PMOS器件区的整个表面区。当然可以有其它变化、修改和替代。
如图6的简化图所示,在一个具体实施例中,该方法包括:形成在衬垫层上方的间隔物电介质层。间隔物电介质层可以是氧化物、氮化物、氧化硅/氮化硅/氧化硅的组合、氧化硅/氮化硅的组合或者具有足够厚度的其它适当材料。根据优选实施例间隔物电介质层基本上无孔。在优选实施例中间隔物电介质层优选地少于300埃。当然可以有其它变化、修改和替代。
该方法还包括:将间隔物电介质层图案化,以在包括第二边缘609的第二栅极结构605上形成第二侧壁间隔物结构613。并且在包括第一边缘603的第一栅极结构601上形成第一侧壁间隔物结构611。并且使用衬垫层607的一部分作为停止层。
再次参照图6的简化图,在一个优选实施例中,该方法至少在间隔物电介质层的图案化期间,维持在第一源极/漏极区和第二源极/漏极区上方的衬垫层607。该方法使用在第二阱区上方的掩膜层615来保护包括第二栅极结构605的第二阱区505。根据一个具体实施例,掩膜层615可以是任何适当光刻材料,比如光刻胶和/或其它相似材料。如图所示,根据一个具体实施例,掩膜层615保护NMOS器件区520,该NMOS器件区520包括将NMOS区与PMOS区分离的浅沟槽隔离的一部分。
参考图7,该方法还包括:使用掩膜层615和第一侧壁间隔物611作为保护层来蚀刻与第一栅极结构相邻的第一源极区和第一漏极区。去除衬垫层607的在PMOS器件区510上方的一部分和部分浅沟槽隔离。
根据一个具体实施例,在对第一源极/漏极区中进行蚀刻时,电介质材料的其它部分充当掩膜材料。使用等离子体蚀刻工艺进行蚀刻以形成凹陷表面区701。接着,该方法剥离在第二阱区上方的掩膜层而暴露衬垫层705在第二阱区上方的一部分。
在另一个具体实施例中,源极/漏极区上方具有上方氧化物层,本方法包括至少使用湿式加工工艺来有选择地去除上方氧化物层,并且暴露包括一种或者多种污染物的凹陷表面区701。
在一个具体实施例中,在上述步骤中刻蚀形成的凹陷表面区701具有至少包括碳物质的一种或者多种污染物。在一个具体实施例中,该方法使凹陷表面区701受到时间段少于1秒的激光处理工艺,例如将凹陷表面区701的温度增加至大于1000摄氏度,以去除表面区中的一个或者多个污染物。在一个优选实施例中,本方法包括去除激光处理工艺以在少于1秒的时间段内将温度减少至约300摄氏度到约600摄氏度。
参照图8,根据一个具体实施例,该方法将锗硅填充材料801有选择地沉积到第一源极区和第一漏极区的凹陷表面区701中,以填充凹陷。在一个具体实施例中,进行锗硅填充材料的沉积,使用衬垫层的在第二阱区上方的一部分作为掩膜材料。在一个优选实施例中,锗硅填充材料使在第一源极区与第一漏极区之间的第一沟道区至少从形成于第一源极区和第一漏极区中的锗硅材料以压缩模式应变。
在一个优选实施例中,该方法包括自对准硅凹陷蚀刻,该自对准硅凹陷蚀刻包括在PMOS源极区和漏极区上的直线TEOS蚀刻步骤和单晶硅蚀刻步骤。该方法还包括光刻胶剥离和SiGe外延生长。根据一个具体实施例,由于只能在暴露的硅表面上进行外延生长,所以它仅能在PMOS的源极区和漏极区上生长,而二氧化硅、氮化硅等材料的其它区域保持没有含锗硅的材料。
在一个优选实施例中,锗硅填充材料是单晶体并且使用外延反应器来沉积。根据一个具体实施例,硅/锗比是10%至20%。蚀刻的源极区和蚀刻的漏极区各自耦合到栅极结构。如图所示,该器件具有至少从形成于蚀刻的源极区和蚀刻的漏极区中的锗硅材料的在填充的源极区与填充的漏极区之间的应变沟道区。该器件也具有在凹陷区中生长硅/锗材料之前形成的轻度掺杂漏极区或者注入区。当然可以有其它变化、修改和替代。
参照图9,根据一个具体实施例,该方法剥离衬垫层的任何余留部分。该方法在剥离衬垫层同时剥离可以从多晶硅栅极结构有选择地去除的硬掩膜材料。根据实施例,可以在多晶硅栅极结构901以及PMOS和NMOS器件结构的其它有源部分如源极/漏极区上方提供硅化材料902(例如钛、钨、钴、镍、铂等)。
在一个优选实施例中,如果需要则可以通过沉积SiO2层以及通过光掩膜图案化和蚀刻来有选择地去除SiO2层从而形成硅化物阻挡层。在一个具体实施例中,该方法包括自对准硅化工艺,仅作为例子,该自对准硅化工艺通过沉积诸如镍(Ni)、钻(Co)、钛(Ti)等的难熔金属、继而沉积氮化钛(TiN)盖层来进行。在一个具体实施例中,该方法包括快速热退火(RTA)、继而是去除任何未反应金属的湿式蚀刻。根据一个具体实施例,然后可以施加第二RTA以完成硅化物相变换。然后例如通过等离子体增强化学气相沉积(PECVD)或者其它适当技术在结构上沉积具有高张应力的SiNx模。氮化硅膜厚度范围从约200埃到1200埃并且被提供在NMOS器件上方以在NMOS沟道区中使应变处于张应变模式中。
在一个具体实施例中,该方法还包括在全部PMOS和NMOS器件结构上方形成层间电介质材料。在一个优选实施例中,然后沉积层间电介质,比如硼磷硅酸盐玻璃(BPSG)、硼硅酸盐玻璃(BSG)、磷硅酸盐玻璃(PSG)或者高密度等离子体(HDP)膜,继而沉积PECVD氧化物层。根据具体实施例,层间电介质可以是单种材料、层组合等。该方法还包括进行接触图案化和形成以在应变硅上完成PMOS和NMOS集成。当然可以有其它变化、修改和替代。
虽然已经按照MOS器件描述如上,但是可以有其它变化、修改和替代。也应理解这里描述的例子和实施例仅用于示例的目的,并且本领域技术人员可以想到的以这些例子和实施例为依据的各种修改或者改变也将包含于本申请的精神实质和范围以及所附权利要求的范畴内。

Claims (24)

1.一种制作半导体器件的方法,所述方法包括:
提供具有表面区的半导体衬底,所述表面区具有一种或者多种污染物,所述表面区上方具有上方氧化物层;
有选择地去除所述上方氧化物层,并且暴露包括所述一种或者多种污染物的所述表面区;
对所述表面区进行激光处理工艺,以去除所述表面区上的所述一种或者多种污染物;并且
去除所述激光处理工艺。
2.根据权利要求1所述的方法,其中所述一种或者多种污染物包括碳物质、氧物质、氯物质或氢物质中的一种或其组合。
3.根据权利要求1所述的方法,所述有选择地去除所述上方氧化物层的方法包括:使用湿式加工工艺。
4.根据权利要求1所述的方法,所述激光处理工艺包括步骤:在至少1秒时间段内,将所述表面区的温度增加至大于1000摄氏度。
5.根据权利要求1所述的方法,其中向所述表面区在所述激光处理工艺期间维持于氩环境中。
6.根据权利要求1所述的方法,其中向所述表面区提供所述激光处理工艺,从所述表面区起至半导体衬底3微米深度。
7.根据权利要求1所述的方法,所述激光处理工艺使用单波长的激光源。
8.根据权利要求7所述的方法,所述单波长的激光源波长为300nm到800nm。
9.根据权利要求1所述的方法,其中所述上层氧化物层的材料包括原生氧化物。
10.根据权利要求1所述的方法,去除所述激光处理工艺包括步骤:在少于1秒的时间段内将温度减少至300摄氏度到600摄氏度。
11.一种制作半导体器件的方法,所述方法包括:
提供的半导体衬底,所述半导体衬底具有一定厚度的材料,和在该一定厚度的材料的一部分上提供的凹陷表面区,所述凹陷表面区具有一种或者多种污染物,所述凹陷表面区上方具有上方氧化物层;
有选择地去除所述上方氧化物层,并且暴露包括所述一种或者多种污染物的所述凹陷表面区;
对所述凹陷表面区进行激光处理工艺,以去除所述表面区上的所述一种或者多种污染物;并且
去除所述激光处理工艺。
12.根据权利要求11所述的方法,其中所述一种或者多种污染物包括碳物质、氧物质、氯物质或氢物质中的一种或其组合。
13.根据权利要求11所述的方法,其中所述有选择地去除所述上方氧化物层的方法包括:使用湿式加工工艺来加工。
14.根据权利要求11所述的方法,所述激光处理工艺包括步骤:在至少1秒时间段内,将所述凹陷表面区的温度增加至大于1000摄氏度。
15.根据权利要求11所述的方法,其中向所述凹陷表面区在所述激光处理工艺期间维持于氩环境中。
16.根据权利要求11所述的方法,其中向所述凹陷表面区提供所述激光处理工艺,从所述表面区起至半导体衬底3微米深度。
17.根据权利要求16所述的方法,所述激光处理工艺使用单波长的激光源。
18.根据权利要求17所述的方法,所述单波长的激光源波长为300nm到800nm。
19.根据权利要求11所述的方法,还包括步骤:向经过激光处理工艺的凹陷表面区中填充物质,所述填充物质包括锗硅材料。
20.根据权利要求19所述的方法,所述锗硅材料是单晶体并且使用外延反应器来沉积。
21.根据权利要求19所述的方法,所述锗硅材料中硅储比是10%至20%。
22.根据权利要求11所述的方法,其中所述上层氧化物层的材料包括原生氧化物。
23.根据权利要求11所述的方法,其中所述有选择地去除所述上方氧化物层的方法包括:自对准硅凹陷蚀刻。
24.根据权利要求11所述的方法,去除所述激光处理工艺包括步骤:在少于1秒的时间段内将温度减少至300摄氏度到600摄氏度。
CN2009101949179A 2009-08-31 2009-08-31 制作半导体器件的方法 Pending CN102005372A (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2009101949179A CN102005372A (zh) 2009-08-31 2009-08-31 制作半导体器件的方法
US12/842,017 US8148272B2 (en) 2009-08-31 2010-07-22 Application of millisecond heating source for surface treatment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2009101949179A CN102005372A (zh) 2009-08-31 2009-08-31 制作半导体器件的方法

Publications (1)

Publication Number Publication Date
CN102005372A true CN102005372A (zh) 2011-04-06

Family

ID=43625527

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101949179A Pending CN102005372A (zh) 2009-08-31 2009-08-31 制作半导体器件的方法

Country Status (2)

Country Link
US (1) US8148272B2 (zh)
CN (1) CN102005372A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102938369A (zh) * 2012-11-23 2013-02-20 清华大学 外延生长预处理方法以及外延生长预处理工艺腔
CN102945798A (zh) * 2012-10-30 2013-02-27 清华大学 超薄氧化层的激光处理生长方法及装置
CN109427541A (zh) * 2017-08-29 2019-03-05 中芯国际集成电路制造(北京)有限公司 半导体器件的形成方法
CN109427544A (zh) * 2017-09-01 2019-03-05 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
CN111602230A (zh) 2018-01-09 2020-08-28 株式会社斯库林集团 衬底处理方法及衬底处理装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
US5454902A (en) * 1991-11-12 1995-10-03 Hughes Aircraft Company Production of clean, well-ordered CdTe surfaces using laser ablation
US5429730A (en) * 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
US5709754A (en) * 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
US5782986A (en) * 1996-01-11 1998-07-21 Fsi International Process for metals removal using beta-diketone or beta-ketoimine ligand forming compounds
US5954884A (en) * 1997-03-17 1999-09-21 Fsi International Inc. UV/halogen metals removal process
US6066032A (en) * 1997-05-02 2000-05-23 Eco Snow Systems, Inc. Wafer cleaning using a laser and carbon dioxide snow
US6465374B1 (en) * 1997-10-21 2002-10-15 Fsi International, Inc. Method of surface preparation
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6230720B1 (en) * 1999-08-16 2001-05-15 Memc Electronic Materials, Inc. Single-operation method of cleaning semiconductors after final polishing
US6457478B1 (en) * 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
TWI313059B (zh) * 2000-12-08 2009-08-01 Sony Corporatio
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
AU2003234287A1 (en) * 2002-04-26 2003-11-10 Phifer Smith Corporation Method and apparatus for treating a substrate with an ozone-solvent solution iii
US20030221702A1 (en) * 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
US7205228B2 (en) * 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US7838431B2 (en) * 2008-06-14 2010-11-23 Applied Materials, Inc. Method for surface treatment of semiconductor substrates
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102945798A (zh) * 2012-10-30 2013-02-27 清华大学 超薄氧化层的激光处理生长方法及装置
CN102938369A (zh) * 2012-11-23 2013-02-20 清华大学 外延生长预处理方法以及外延生长预处理工艺腔
CN102938369B (zh) * 2012-11-23 2016-04-13 清华大学 外延生长预处理方法以及外延生长预处理工艺腔
CN109427541A (zh) * 2017-08-29 2019-03-05 中芯国际集成电路制造(北京)有限公司 半导体器件的形成方法
CN109427544A (zh) * 2017-09-01 2019-03-05 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
CN109427544B (zh) * 2017-09-01 2021-07-02 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法

Also Published As

Publication number Publication date
US8148272B2 (en) 2012-04-03
US20110053349A1 (en) 2011-03-03

Similar Documents

Publication Publication Date Title
CN1959959B (zh) 使用应变硅用于集成pmos和nmos晶体管的单掩模设计方法和结构
CN1959957B (zh) 使用应变硅用于晶体管的集成设计方法和结构
CN100568467C (zh) 一种mosfet器件及其形成方法
CN102024761A (zh) 用于形成半导体集成电路器件的方法
CN100376025C (zh) 半导体装置的制造方法
CN102005372A (zh) 制作半导体器件的方法
CN100416819C (zh) 使用固相外延的半导体器件及其制造方法
JP2008166802A (ja) チャンネル膜を有する半導体装置の製造方法
KR100773353B1 (ko) 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
CN101419905B (zh) 制造半导体器件的方法
CN106887408A (zh) 一种半导体器件的制造方法
CN103295950A (zh) 浅沟槽隔离结构的制作方法
US7101725B2 (en) Solution to thermal budget
US6815355B2 (en) Method of integrating L-shaped spacers in a high performance CMOS process via use of an oxide-nitride-doped oxide spacer
US20110012226A1 (en) Semiconductor device and method for manufacturing the same
CN102024681B (zh) 用于制造半导体器件的方法
US20080105899A1 (en) Semiconductor device with epitaxially grown layer and fabrication method
CN103151264B (zh) 一种半导体器件的制造方法
CN107464741A (zh) 一种半导体器件及其制造方法、电子装置
US20130307078A1 (en) Silicon on insulator complementary metal oxide semiconductor with an isolation formed at low temperature
CN105633070A (zh) 一种半导体器件及其制作方法
US11282740B2 (en) Bulk semiconductor structure with a multi-level polycrystalline semiconductor region and method
CN100477151C (zh) 沟渠隔离工艺及方法
KR100781548B1 (ko) 반도체 집적 회로 장치 및 그 제조 방법
CN105161414B (zh) 栅极硬掩模层的去除方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20110406