JP4411907B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4411907B2
JP4411907B2 JP2003307146A JP2003307146A JP4411907B2 JP 4411907 B2 JP4411907 B2 JP 4411907B2 JP 2003307146 A JP2003307146 A JP 2003307146A JP 2003307146 A JP2003307146 A JP 2003307146A JP 4411907 B2 JP4411907 B2 JP 4411907B2
Authority
JP
Japan
Prior art keywords
film
semiconductor device
forming
insulating film
heat treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003307146A
Other languages
English (en)
Other versions
JP2005079308A (ja
Inventor
隆興 佐々木
岳志 星
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP2003307146A priority Critical patent/JP4411907B2/ja
Priority to US10/916,457 priority patent/US7306985B2/en
Priority to KR1020040067666A priority patent/KR20050021334A/ko
Publication of JP2005079308A publication Critical patent/JP2005079308A/ja
Application granted granted Critical
Publication of JP4411907B2 publication Critical patent/JP4411907B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

この発明は半導体装置の製造方法に関する。更に、具体的には、ゲート絶縁膜の形成方法を含む半導体装置の製造方法に関するものである。
一般に、半導体装置のゲート絶縁膜の工程において、Si基板上に熱酸化膜を形成することが多いが、この熱酸化膜の形成の際、熱酸化膜と、Si基板との界面付近に、わずかに構造欠陥が発生する場合がある。この構造欠陥は、Si基板に近い酸化膜中に作る固定電荷や、Si界面近傍のダングリングボンド(未結合手)に由来した界面準位を発生させる。一般に、界面準位は、半導体デバイスの特性劣化を引き起こすと考えられるため、界面準位を低下させる必要がある。このため、熱酸化膜と、Si基板との界面状態を改善するため、熱酸化膜形成後、比較的高温のアニールを行う方法が考えられている。
また、近年、半導体装置の高度集積化、微細化に伴い、トランジスタのゲート絶縁膜においても薄膜化が進められている。このように、ゲート絶縁膜が薄膜化すると、従来のSiOからなるゲート絶縁膜では、リーク電流が増大し、無視できない値となる。そこで、リーク電流の増大を抑えるため、SiO膜表面を窒化し、ゲート絶縁膜表面を酸窒化膜とする方法が取られている。また、特に、pMOSにおいては、このように、窒素を充填した酸化膜を用いることにより、同時に、ゲート電極中のボロンの突き抜け防止を図ることもできる。
このような、窒素を酸化膜中に導入し、ゲート絶縁膜を形成する方法としては、熱酸化膜形成の後、NOや、NOや、NH等の窒素を含む雰囲気中で熱処理を加えることにより、熱酸化膜の表面を窒化する方法がある。この方法によれば、SiO膜表面を窒化してリーク電流の防止等を図ると共に、熱処理を加えることにより、同時に、Si基板と、熱酸化膜との界面状態を改善することができる。また、他の方法として、熱酸化膜形成後にプラズマ窒化を行い、熱酸化膜表面に窒素を添加する方法がある(例えば、特許文献1〜4参照)。
特開平7−30114号公報 特開平10−321862号公報 特開平11−340238号公報 特開平2002−280560号公報
しかし、上述のように、NO等の雰囲気中で、熱酸化膜を窒化する方法を用いる場合、窒素の導入温度が比較的高温となる。このように高温の処理を行う場合には、本来、界面付近に導入させたくない窒素が、界面付近にまで再拡散してしまう場合がある。窒素は、熱酸化膜と、Si基板との界面付近に存在する場合には、界面準位を増加させる原因となるため、問題である。特に、NBTI(Negative Bias Temperature Instability)においては、ゲート絶縁膜中の窒素分布が、デバイス特性に大きな影響を与えるため、デバイス特性の劣化の大きな要因となってしまう。
また、プラズマ窒化を用いる場合には、熱酸化膜中の酸素を、窒素で置き換えながら、窒化が進むため、比較的低温での窒化が可能である。しかし、熱酸化膜と、Si基板との界面においては、比較的悪い界面が構築されやすく、界面準位を増加させる原因となってしまう。
ウェーハプロセスで、ゲート絶縁膜の膜圧が異なる構造を有するデバイスを、同時に形成する場合、基板上にレジストの塗布と、剥離とを繰り返す必要がある。このため、ゲート絶縁膜の界面に大きなダメージを与え、界面準位を増加させることになる。
また、近年、消費電力の低減と、リーク電流防止のため、ゲート絶縁膜として、高誘電率膜を用いる場合が増加している。この場合には、高誘電率膜と、Si基板との間に、形成される界面ゲート絶縁膜は、IFL(Interfacial Layer)と称される非常に薄い膜である場合が多い。特に、このように薄い膜の場合、膜中の界面の割合いが大きいため、より界面準位の影響を受けやすく、界面準位の低減を図るためには、より高信頼な薄膜の形成が必要である。
従って、この発明は、窒素の界面付近への拡散を抑えつつ、良好な界面状態のゲート絶縁膜を形成することができるように改良した半導体装置の製造方法を提案するものである。
この発明の半導体装置の製造方法は、
基板に、窒素を含む絶縁膜を形成するゲート絶縁膜形成工程と、
前記ゲート絶縁膜上から、フラッシュランプを用いて、約500msec以下の熱処理を行う熱処理工程と、
前記ゲート絶縁膜上に、ゲート電極を形成するゲート電極形成工程と、
を備えるものである。
この発明においては、ゲート絶縁膜として、窒素を含む絶縁膜を形成した後、フラッシュランプを用いて500msec以下の熱処理を行う。これによれば、絶縁膜と、Si基板との界面付近に、高温短時間の熱処理を施すことができるため、界面状態を良好にすることができる。一方、熱処理は、極短時間であるため、Si基板との界面付近に、Nが拡散するのを抑えることができ、Nの再拡散により、界面準位の増加を抑えることができる。
以下、図面を参照して本発明の実施の形態について説明する。なお、各図において、同一または相当する部分には同一符号を付してその説明を簡略化ないし省略する。
実施の形態.
図1は、この発明の実施の形態における半導体装置100を説明するための断面模式図である。
図1に示すように、半導体装置100において、Si基板2には、STI(Shallow Trench Isolation;素子分離領域)4が形成され、STI4により分離された領域には、ウェル6が形成されている。また、Si基板2上には、ゲート絶縁膜10として、SiO膜12と、Si(1−x)膜14とが積層されている。また、Si(1−x)膜14上には、ゲート電極16が形成されている。ゲート電極16及び、ゲート絶縁膜10の側面には、サイドウォール18が形成されている。
Si基板2表面付近のゲート絶縁膜10より外側の部分には、比較的不純物濃度の低い不純物拡散層であるエクステンション20が形成されている。また、エクステンション20と、ウェル6との界面におけるpn接合を包含するようにしてHalo22が形成されている。また、Si基板2の、エクステンション20の外側、かつ、サイドウォール18より外側部分には、比較的不純物濃度の高い不純物拡散層であるソース/ドレイン24が形成されている。
Si基板2上には、ゲート電極16、サイドウォール18を埋め込むようにして、層間絶縁膜26が形成されている。層間絶縁膜26には、それぞれ、ソース/ドレイン24に接続するコンタクトプラグ28が形成されている。
以上のように構成された半導体装置100は、従来の半導体装置と、構造的には類似する。しかし、半導体装置100においては、ゲート絶縁膜10は、SiO膜12と、Si(1−x)膜14との積層構造となっている。また、Si(1−x)膜14からのSiO膜12へのNの拡散を抑える製造方法をとることにより、SiO膜12と、Si基板2との界面付近には、Nの混入が抑えられた状態となっている。
図2は、この発明の実施の形態における半導体装置100の製造方法を説明するためのフロー図である。また、図3〜図8は、半導体装置100の各製造工程における状態を説明するための断面模式図である。
以下、図1〜図8を参照して、この発明の実施の形態における半導体装置100の製造方法について詳細に説明する。
まず、図3に示すように、Si基板2上に、STI4を形成して、Si基板2を活性領域ごとに分離し(ステップS102)、その後、Si基板2上に、犠牲酸化膜30を形成する(ステップS104)。次に、犠牲酸化膜30を介して、不純物の注入を行うことにより、ウェル6を形成する(ステップS106)。ウェル6形成後、酸化膜形成前の基板の洗浄をおこなう(ステップS108)。ここでの洗浄には、ウェットエッチングによる犠牲酸化膜30の除去の工程と、自然酸化膜の除去の工程とが含まれる。
次に、図4に示すように、Si基板2上に、SiO膜12を形成する(ステップS110)。SiO膜12は、リーク電流、消費電力等を考慮し、必要な膜厚に形成する。その後、図5に示すように、SiO膜12上に、Si(1−x)膜14を形成する(ステップS112)。ここで、Si(1−x)膜14は、SiClと、NHとの交互供給CVD(Chemical Vapor Deposition)により形成する。
次に、可視光領域を主の成分とするフラッシュランプを用いてアニールを行う(ステップS114)。ここでは、アニールの温度を約1000℃程度とし、アニール時間は、約1msec程度の極短時間とする。この瞬間的な熱処理により、界面付近のみを実効的に1000℃程度に上げることができ、Si基板2と、SiO膜12との界面を改質することができる。また、この時、極短時間のアニールであるため、SiO膜12上部に形成された、Si(1−x)膜14からのNの再拡散は避けることができる。
次に、図6に示すように、Si(1−x)膜14上に、ゲート電極16の材料膜として、ポリシリコン膜を形成する(ステップS116)。その後、ポリシリコン膜16に、不純物を注入する(ステップS118)。
次に、図7に示すように、ポリシリコン膜を加工し、ゲート電極16を形成する(ステップS120)。ここでは、ポリシリコン膜16上に、リソグラフィ技術によりレジストマスクを形成し、これをマスクとしてポリシリコン膜16をエッチングすることにより、所望のゲート長のゲート電極16を形成する。その後、Si(1−x)膜14、SiO膜12をエッチングし、ゲート絶縁膜10を形成する(ステップS122)。ここでは、ゲート電極16の加工と同様にレジストマスクをマスクとして、Si(1−x)膜14及びSiO膜12をエッチングすることにより、ゲート電極16と同じ幅に加工する。レジストマスクは、この後、除去する。
次に、ゲート電極16をマスクとして、Si基板2にイオン注入し、エクステンション20を形成する(ステップS124)。更に、ゲート電極16をマスクとし、エクステンション20とは逆の型のイオンを注入し、エクステンション20とウェル6との界面を包含するように、Halo22を形成する(S126)。
次に、図8に示すように、ゲート電極16及びゲート絶縁膜10の側面にサイドウォール18を形成する(ステップS128)。ここでは、まず全面にSiO膜や、SiN膜等を堆積して、エッチバックを行う。これにより、SiO膜等が、ゲート電極16及びゲート絶縁膜10側面にのみ残り、サイドウォール18が形成される。
次に、ゲート電極16及びサイドウォール18をマスクとして、イオン注入を行うことにより、比較的不純物濃度の高い拡散層であるソース/ドレイン24を形成する(ステップS130)。ここで注入するイオンは、エクステンション20形成の際に注入したイオンと同じイオンである。
次に、ゲート電極16、サイドウォール18等を埋め込むようにして、層間絶縁膜26を形成する(ステップS132)。その後、コンタクトプラグ28を形成する(ステップS134)。コンタクトプラグ28は、層間絶縁膜26表面から、ソース/ドレイン24上面にまで、層間絶縁膜26を貫通するコンタクトホールを形成し、このホール内に、導電部材を埋め込むことにより形成される。
以上のようにして、図1に示すような半導体装置100を得ることができる。
以上説明したように、実施の形態1によれば、ゲート絶縁膜10として、SiO膜12と、Si(1−x)膜14とを積層して用いる。これにより、SiO膜12とSi基板2との界面付近に、Nが混入するのを抑えることができる。また、ここで、Si(1−x)膜14形成後の熱処理は、フラッシュランプを用いて行う。ここで、フラッシュランプを用いることにより、約1msecの短時間に、1000℃程度の高温の熱処理を行うことができる。これにより、SiO膜12とSi基板2との界面付近を、実効的に1000℃程度に上げることができるため、良好な界面を形成することができる。また、熱処理は、約1msec程度の瞬間的なものであるため、Si(1−x)膜14から、SiO膜へ、Nが拡散するのを抑えることができる。また、界面付近にNが拡散しないため、NBTI(Negative Bias Temperature Instability)も良好となる。
図9は、半導体装置100のトランジスタ特性のうち、ゲートリークの測定結果と、界面準位とについて示したグラフである。グラフ中、左側は、フラッシュランプを用いていない場合について示し、右側は、フラッシュランプを用いた場合について示す。また、棒グラフは、NBTIを示し、黒丸でプロットした線グラフは、界面準位を示す。
図9に示すように、従来の、フラッシュランプを用いていない場合に比べ、実施の形態1のように、フラッシュランプを施したデバイスは、ゲートリーク電流も、界面準位も、低下していることがわかる。このように、実施の形態1によれば、デバイス特性の良好な半導体装置を得ることができる。
なお、この実施の形態においては、SiO膜上に、Si(1−x)膜14を形成する場合について説明した。しかし、この発明において、ゲート絶縁膜は、SiO膜と、Si(1−x)膜14との積層構造に限るものではない。ゲート絶縁膜としては、窒素を含む絶縁膜単層のものであってもよい。具体的に、例えば、プラズマ酸化膜等、比較的低温で形成された、窒素の添加された酸化膜等が考えられる。この場合にも、窒化処理を比較的低温で行った後、フラッシュランプにより極短時間のアニールを施すことにより、界面の状態を改善しつつ、Nの拡散を回避することができる。従って、界面準位と、リーク電流の低い、デバイス特性の良好な半導体装置を得ることができる。
また、実施の形態1においては、Si(1−x)膜14形成後の熱処理について、フラッシュランプを用いて、熱処理温度を約1000℃程度、処理時間を約1msecとする場合について説明した。しかし、この発明は、この温度、あるいは、処理時間に限るものではない。例えば、処理時間は、膜厚を考慮して決定すればよいが、Nの拡散を回避するため、約500msec以下の短時間の熱処理とすることが好適である。
また、実施の形態1においては、1の基板上に、1のトランジスタを形成する場合について説明した。しかし、この発明は、これに限るものではなく、1の基板上に、複数のトランジスタを形成するものであっても良い。また、この複数のトランジスタは、pMOS、nMOSが混在するものであってもよい。この場合には、それぞれのトランジスタに必要な、ゲート絶縁膜の膜厚等を考慮し、マスキング工程等を行いながら、各膜の形成を行えばよい。
また、この発明において、半導体装置の構造、各膜の形成方法、形成材料等は、実施の形態1において説明したものに限るものではない。これらは、この発明の範囲内において、適宜選択すればよい。例えば、実施の形態1においては、ゲート電極10として、ポリシリコン膜を用いたが、この発明においては、これに限るものではなく、アモルファスシリコンや、シリコンゲルマニウム膜、あるいは、金属等を用いるものであってもよい。
実施の形態2.
図10は、この発明の実施の形態2における半導体装置200を説明するための断面模式図である。
図10に示すように,半導体装置200は、実施の形態1における半導体装置100と類似するものである。
但し、半導体装置100において、ゲート絶縁膜10が、SiO膜12と、Si(1−x)膜14との積層構造であったのに対して、半導体装置200におけるゲート絶縁膜10は、SiO膜12と、高誘電率膜であるHfO膜40と、Si(1−x)膜14との3層により構成されている。また、ここで、SiO膜12の膜厚は、約0.2〜1.0nm程度の薄いものである。
図11は、この発明の実施の形態2における半導体装置200の製造方法について説明するためのフロー図である。また、図12は、半導体装置200の製造過程における状態を説明するための断面模式図である。
半導体装置200の製造方法は、半導体装置100の製造方法と類似するものである。しかし、上述したように、半導体装置200においては、ゲート絶縁膜10が、HfO40を含む3層により構成されているため、この部分において、半導体装置100の製造方法とは、ことなるものである。
具体的な製造方法として、まず、実施の形態1のステップS102〜S110と同様のステップを行うことにより、図4に示すように、Si基板2上に、SiO膜12を形成する(ステップS202〜S210)。但し、ここでは、SiO膜12は,界面ゲート絶縁膜として、膜厚0.2〜1.0nm程度の非常に薄いものとする。
その後、SiO膜12上に、HfO膜40を形成する(ステップS212)。ここでは、HfO膜40は、ALD(Atomic Layer Deposition)法を用いて形成する。その後、実施の形態1のステップS112と同様に、HfO膜40上に、Si(1−x)膜14を形成する(ステップS214)。
その後、実施の形態1のステップS114と同様に、フラッシュランプを用いたアニールを行う(ステップS216)。ここでのフラッシュランプアニールも、瞬間的に、Si基板2と、SiO膜12との界面を約1000℃の高温にするため良好な界面とすることができる。一方、熱処理時間は、約1msec程度の短時間とすることで、Nの再拡散を抑えることができる。
その後、実施の形態1のステップS116〜S134と同様に、ゲート電極の形成、加工等を行うことにより(ステップS218〜S236)、半導体装置200を得ることができる。
以上説明したように、実施の形態2においては、ゲート絶縁膜10として、薄いSiO膜12、HfO膜40、Si(1−x)膜14の3層構造の絶縁膜を用いた。また、このゲート絶縁膜形成後、フラッシュランプを用いた極短時間のアニールを行う。フラッシュランプを用いることにより、界面を改質すると共に、SiO膜12と、Si基板2との界面に、Nが拡散するのを抑えることができる。
なお、実施の形態2においては、高誘電率膜としてHfO膜40を用いた。しかし、この発明において高誘電率膜は、これに限るものではない。高誘電率膜は、一般に、シリコン酸化膜より比誘電率の高い膜であり、具体的には、例えば、チタン酸化物、ジルコニウム酸化物、ランタン酸化物、タンタル酸化物、アルミナ酸化物、ハフニウム酸化物等があげられる。また、これらの1種類の膜でなく、これらのうち、複数種類の高誘電率膜を積層したものであってもよい。
また、この実施の形態2においては、HfO膜40上に、薄くSi(1−x)膜14を形成したゲート絶縁膜10を用いた。しかし、この発明において、ゲート絶縁膜10は、これに限るものではなく、SiO膜12と、HfO膜40との2層のものであってもよい。また、SiO膜12としては、表面を窒化させた、SiON膜を用いてもよい。この場合にも、SiON膜の表面付近の窒素が、界面付近に拡散するのを抑えることができる。
また、フラッシュランプによるアニールは、ゲート絶縁膜10として、Si(1−x)膜14を形成した後に行った。しかし、この発明においては、これに限るものではなく、例えば、HfO膜40を形成した後、Si(1−x)膜14形成前に、行うものであっても良い。
その他の部分は、実施の形態1と同様であるから説明を省略する。
なお、例えば、実施の形態1のステップS110〜S112、あるいは、実施の形態2のステップS210〜S214を実行することにより、この発明のゲート絶縁膜形成工程が実行され、ステップS114あるいはステップS216を実行することにより、この発明の熱処理工程が実行される。また、例えば、実施の形態1のステップS116〜S120、あるいは、実施の形態2のステップS218〜S222を実行することにより、この発明のゲート電極形成工程が実行される。
また、例えば、実施の形態1のステップS110、S112を実行することにより、それぞれ、この発明の酸化膜形成工程、窒化膜形成工程が実行される。例えば、実施の形態2のステップS210、S212、S214を実行することにより、それぞれ、この発明の酸化膜形成工程、高誘電率膜形成工程、窒化膜形成工程が実行される。
この発明の実施の形態1における半導体装置を説明するための断面模式図である。 この発明の実施の形態1における半導体装置の製造方法を説明するためのフロー図である。 この発明の実施の形態1における半導体装置の製造過程における状態を説明するための断面模式図である。 この発明の実施の形態1における半導体装置の製造過程における状態を説明するための断面模式図である。 この発明の実施の形態1における半導体装置の製造過程における状態を説明するための断面模式図である。 この発明の実施の形態1における半導体装置の製造過程における状態を説明するための断面模式図である。 この発明の実施の形態1における半導体装置の製造過程における状態を説明するための断面模式図である。 この発明の実施の形態1における半導体装置の製造過程における状態を説明するための断面模式図である。 この発明の実施の形態1における半導体装置と、従来の方法により形成した半導体装置のデバイス特性を比較するためのグラフである。 この発明の実施の形態2における半導体装置を説明するための断面模式図である。 この発明の実施の形態2における半導体装置の製造方法を説明するためのフロー図である。 この発明の実施の形態2における半導体装置の製造過程における状態を説明するための断面模式図である。
符号の説明
100、200 半導体装置
2 Si基板
4 STI
6 ウェル
10 ゲート絶縁膜
12 SiO
14 Si(1−x)
16 ゲート電極
18 サイドウォール
20 エクステンション
22 Halo
24 ソース/ドレイン
26 層間絶縁膜
28 コンタクトプラグ

Claims (5)

  1. シリコン基板に、シリコン酸化膜を形成するシリコン酸化膜形成工程と、
    前記シリコン酸化膜上に、交互供給CVDによりシリコン窒化膜を形成するシリコン窒化膜形成工程と、
    前記シリコン窒化膜上から、フラッシュランプを用いて、500msec以下の熱処理を行う熱処理工程と、
    前記熱処理工程後に、前記シリコン窒化膜上に、ゲート電極を形成するゲート電極形成工程と、
    を備えることを特徴とする半導体装置の製造方法。
  2. シリコン基板上に、シリコン酸化膜を形成するシリコン酸化膜形成工程と、
    前記シリコン酸化膜上に高誘電率膜を形成する高誘電率膜形成工程と、
    前記高誘電率膜上に、交互供給CVDによりシリコン窒化膜を形成するシリコン窒化膜形成工程と、
    前記シリコン窒化膜上から、フラッシュランプを用いて、500msec以下の熱処理を行う熱処理工程と、
    前記熱処理工程後に、前記シリコン窒化膜上に、ゲート電極を形成するゲート電極形成工程と、
    を備えることを特徴とする半導体装置の製造方法。
  3. シリコン基板上に、シリコン酸化膜を形成するシリコン酸化膜形成工程と、
    前記シリコン酸化膜上に高誘電率膜を形成する高誘電率膜形成工程と、
    前記高誘電率膜上から、フラッシュランプを用いて、500msec以下の熱処理を行う熱処理工程と、
    前記高誘電率膜上に、交互供給CVDによりシリコン窒化膜を形成するシリコン窒化膜形成工程と、
    前記熱処理工程後に、前記シリコン窒化膜上に、ゲート電極を形成するゲート電極形成工程と、
    を備えることを特徴とする半導体装置の製造方法。
  4. 前記シリコン窒化膜形成工程は、SiClと、NHとの交互供給CVDにより行うことを特徴とする請求項からのいずれかに記載の半導体装置の製造方法。
  5. 前記シリコン窒化膜は、0.2nm〜1.0nmの膜厚であることを特徴とする請求項からのいずれかに記載の半導体装置の製造方法。
JP2003307146A 2003-08-29 2003-08-29 半導体装置の製造方法 Expired - Fee Related JP4411907B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2003307146A JP4411907B2 (ja) 2003-08-29 2003-08-29 半導体装置の製造方法
US10/916,457 US7306985B2 (en) 2003-08-29 2004-08-12 Method for manufacturing semiconductor device including heat treating with a flash lamp
KR1020040067666A KR20050021334A (ko) 2003-08-29 2004-08-27 반도체 장치의 제조 방법 및 반도체 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003307146A JP4411907B2 (ja) 2003-08-29 2003-08-29 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2005079308A JP2005079308A (ja) 2005-03-24
JP4411907B2 true JP4411907B2 (ja) 2010-02-10

Family

ID=34214117

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003307146A Expired - Fee Related JP4411907B2 (ja) 2003-08-29 2003-08-29 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US7306985B2 (ja)
JP (1) JP4411907B2 (ja)
KR (1) KR20050021334A (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4477981B2 (ja) * 2004-10-07 2010-06-09 Okiセミコンダクタ株式会社 半導体装置の製造方法
US20060270166A1 (en) * 2005-05-31 2006-11-30 Liang-Gi Yao Laser spike annealing for gate dielectric materials
KR100731070B1 (ko) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 반도체 소자의 게이트 형성방법
JP2007281181A (ja) * 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US20070281082A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
US20100024732A1 (en) * 2006-06-02 2010-02-04 Nima Mokhlesi Systems for Flash Heating in Atomic Layer Deposition
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US7601648B2 (en) * 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
JP2009272402A (ja) * 2008-05-02 2009-11-19 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP6026090B2 (ja) * 2011-09-26 2016-11-16 株式会社Screenホールディングス 熱処理方法
US9412640B2 (en) 2013-01-25 2016-08-09 GlobalFoundries, Inc. Semiconductor device including substrate contact and related method
JP6472247B2 (ja) * 2015-01-07 2019-02-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP6654716B2 (ja) * 2019-01-21 2020-02-26 株式会社Screenホールディングス 熱処理方法およびゲート形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6279628A (ja) 1985-10-02 1987-04-13 Seiko Epson Corp 界面準位密度の減少法
US4962065A (en) * 1989-02-13 1990-10-09 The University Of Arkansas Annealing process to stabilize PECVD silicon nitride for application as the gate dielectric in MOS devices
JPH0730114A (ja) 1993-07-09 1995-01-31 Sony Corp Mos型トランジスタの製造方法
US5969397A (en) * 1996-11-26 1999-10-19 Texas Instruments Incorporated Low defect density composite dielectric
JPH10321862A (ja) 1997-05-23 1998-12-04 Matsushita Electric Ind Co Ltd 薄膜トランジスタおよびその製造方法
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
JPH11340238A (ja) 1998-05-27 1999-12-10 Matsushita Electron Corp 半導体装置の製造方法
US6087236A (en) * 1998-11-24 2000-07-11 Intel Corporation Integrated circuit with multiple gate dielectric structures
TWI313059B (ja) * 2000-12-08 2009-08-01 Sony Corporatio
JP2002280560A (ja) 2001-03-16 2002-09-27 Sharp Corp 半導体素子の製造方法、その製造方法によって製造される半導体素子及び半導体装置
JP2002299607A (ja) 2001-03-28 2002-10-11 Toshiba Corp Mis型電界効果トランジスタ及びこれの製造方法
US6531368B1 (en) * 2001-04-03 2003-03-11 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a metal oxide high-k gate insulator by localized laser irradiation and a device thereby formed
US6867101B1 (en) * 2001-04-04 2005-03-15 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
JP2003197767A (ja) * 2001-12-21 2003-07-11 Toshiba Corp 半導体装置及びその製造方法
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6632729B1 (en) * 2002-06-07 2003-10-14 Advanced Micro Devices, Inc. Laser thermal annealing of high-k gate oxide layers
US7022625B2 (en) * 2002-07-25 2006-04-04 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a gate dielectric layer with reduced gate tunnelling current and reduced boron penetration
DE10240449B4 (de) * 2002-09-02 2009-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer dielektrischen Schicht mit geringem Leckstrom, wobei eine erhöhte kapazitive Kopplung erzeugt wird
US6713358B1 (en) * 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7001814B1 (en) * 2003-05-16 2006-02-21 Advanced Micro Devices, Inc. Laser thermal annealing methods for flash memory devices
US6809370B1 (en) * 2003-07-31 2004-10-26 Texas Instruments Incorporated High-k gate dielectric with uniform nitrogen profile and methods for making the same

Also Published As

Publication number Publication date
KR20050021334A (ko) 2005-03-07
US7306985B2 (en) 2007-12-11
JP2005079308A (ja) 2005-03-24
US20050045967A1 (en) 2005-03-03

Similar Documents

Publication Publication Date Title
JP5427148B2 (ja) 半導体装置
US20070082445A1 (en) Metal-gate cmos device and fabrication method of making same
US20060081893A1 (en) Semiconductor device and method of manufacturing the same
TWI449132B (zh) Manufacturing method of semiconductor device
JP4411907B2 (ja) 半導体装置の製造方法
US7238996B2 (en) Semiconductor device
US7335562B2 (en) Method of manufacturing semiconductor device
JP5268829B2 (ja) 半導体装置
US20190326291A1 (en) Increasing thickness of functional layer according to increasing recess area
US7759744B2 (en) Semiconductor device having high dielectric constant layers of different thicknesses
JP5436362B2 (ja) 半導体装置
JP2013026466A (ja) 半導体装置及びその製造方法
JP2010272596A (ja) 半導体装置の製造方法
US8471341B2 (en) Semiconductor device and method for fabricating the same
KR101054320B1 (ko) 반도체 장치의 제조 방법
US8008728B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP2005158998A (ja) 半導体装置の製造方法
TWI596674B (zh) 半導體裝置及其製造方法
JP2007234740A (ja) 半導体装置の製造方法
KR100539159B1 (ko) 반도체 소자의 트랜지스터 및 그 제조 방법
JP2006054391A (ja) 半導体装置の製造方法
JP2012099549A (ja) 半導体装置の製造方法
KR100844953B1 (ko) 선택적 텅스텐 성장법을 이용한 게이트 제조 방법
JP2005347584A (ja) 半導体装置の製造方法
JP2005347631A (ja) 半導体装置の製造方法及び半導体装置。

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050317

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051020

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090811

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090811

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091027

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091109

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121127

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121127

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131127

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees