KR20020070255A - 플라즈마 에칭 챔버에 대한 다단계 세정 - Google Patents

플라즈마 에칭 챔버에 대한 다단계 세정 Download PDF

Info

Publication number
KR20020070255A
KR20020070255A KR1020027001134A KR20027001134A KR20020070255A KR 20020070255 A KR20020070255 A KR 20020070255A KR 1020027001134 A KR1020027001134 A KR 1020027001134A KR 20027001134 A KR20027001134 A KR 20027001134A KR 20020070255 A KR20020070255 A KR 20020070255A
Authority
KR
South Korea
Prior art keywords
chamber
gas
substrate
etching
cleaning
Prior art date
Application number
KR1020027001134A
Other languages
English (en)
Other versions
KR100738850B1 (ko
Inventor
초우웨이칭
윌리암스라네이
릴쏘르스텐비
첸아서와이
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20020070255A publication Critical patent/KR20020070255A/ko
Application granted granted Critical
Publication of KR100738850B1 publication Critical patent/KR100738850B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 챔버 (30) 내의 기판 (25) 상의 다층 (22, 24) 을 에칭하고 에칭 챔버 (30) 의 벽 (45) 및 구성요소의 표면 상에 형성된 다층 에천트 잔류물을 세정하는 공정. 다중 에칭 단계에서, 기판 (25) 상의 층들을 에칭하여 조성적으로 다른 에천트 잔류물을 챔버 (30) 내부에 증착시키는 데에 다른 조성의 에천트 가스를 구비하는 공정 가스가 사용된다. 일 세정 단계에서, 제 1 세정 가스가 공정 가스에 추가되어 제 1 잔류물을 세정하거나 제 1 잔류물의 챔버 표면 상으로의 증착을 억제한다. 제 2 세정 단계에서, 제 2 세정 가스 조성물을 사용하여 또다른 조성물의 잔류물이 챔버 표면으로부터 제거된다. 염소 또는 브롬 화학을 사용하여 금속 실리사이드 (22) 또는 폴리실리콘 (24) 을 에칭하는 거에 대해 특히 본 공정이 유리하며, 본 공정에 의해 CF4, NF3또는 SF6등의 플루오르화물이 제 1 세정 가스로서 추가되고 산소가 제 2 세정 가스로서 사용된다.

Description

플라즈마 에칭 챔버에 대한 다단계 세정{MULTIPLE STAGE CLEANING FOR PLASMA ETCHING CHAMBERS}
집적회로의 제조에서, 기판 상에 증착되거나 또는 다른 방법으로 형성된 이산화실리콘, 질화실리콘, 폴리실리콘, 금속 실리사이드, 및 단결정 실리콘 등의 재료를 소정의 패턴으로 에칭하여, 게이트, 비어, 콘택트 홀 (contact holes), 트랜치, 및 상호접속 라인을 형성한다. 에칭 공정에서, 산화실리콘 또는 질화실리콘으로 이루어진 패터닝된 마스크 (하드 마스크; hard mask) 또는 포토레지스트 중합체는 종래 포토리소그래피법에 의해 기판 상에 형성된다. 패턴닝된 마스크의 형태들 사이에 있는 아래 놓인 재료의 노출된 부분은 에천트 가스 (etchan gas) 의 용량성 또는 유도성 결합 플라즈마에 의해 에칭된다. 에칭 공정 동안, 에천트 잔류물 (etchant residue; 흔히 중합체임) 은 에칭 챔버 내측의 벽 또는 다른 구성요소 표면 상에 증착된다. 에천트 잔류물 (에칭 공정으로부터 나온 잔류물) 의 조성은 에천트 가스의 증발된 종들, 에칭되는 재료, 및 기판 상의 마스크층의 화학적 조성에 의존한다. 예를 들어, 텅스텐 실리사이드, 폴리실리콘 또는 다른 실리콘 함유층이 에칭될 때, 실리콘 함유 가스종들은 기판으로부터 증발되거나 스퍼터링되고, 마찬가지로, 금속층의 에칭이 금속 종들의 증발을 초래한다. 또한, 기판 상의 마스크층도 에천트 가스에 의해 증발되어 가스 탄화수소 또는 산소 종들이 형성된다. 증발된 가스 종들은 농축되어, 레지스트로부터 나온 탄화수소 종들로 이루어진 중합체 부산물; 불소, 산소, 또는 질소 등의 가스 원소; 및 에칭되는 기판의 조성에 따라 원소 실리콘 또는 금속 종들을 함유하는 에천트 잔류물이 형성된다. 중합체 부산물은 챔버 내의 벽 및 구성요소 상에 에천트 잔류물의 얇은 층으로서 증착된다. 통상, 에천트 잔류물의 조성은 국부적 환경, 가스 입구 및 배기구 포트의 위치, 및 챔버의 기하학적 형태에 따라 챔버를 가로질러 상당히 변화한다.
에칭 챔버 표면 상에 형성된 조성적으로 상이하고 비균질한 에천트 잔류물은 기판의 오염을 방지하기 위해 주기적으로 세정되어야 한다. 통상, 약 25 개의 웨이퍼를 처리한 후에, 인시츄 플라즈마 "건식 세정 (dry-clean)" 공정을 빈 에칭 챔버 내에서 행하여 챔버를 세정한다. 그러나, 활성이 큰 플라즈마 종들은 급속히 챔버 벽 및 챔버 구성요소를 부식시키며, 이러한 부품 및 구성요소를 교체하는 것은 비용이 많이 든다. 또한, 챔버 표면의 부식은 하나의 웨이퍼로부터 다른 웨이퍼로의 에칭 공정의 불안정성을 초래한다. 또한, 상대적으로 얇고 조성적으로 상이한 에천트 잔류물은 모든 에천트 잔류물이 제거된 후 즉시 인시츄 플라즈마 세정 공정을 중단시키는 것이 어려워서 아래 있는 챔버 표면의 부식을 초래하게 된다. 또한, 챔버의 일부에서의 화학적으로 더 연한 잔류물을 완전히 제거하고 그 아래 있는 챔버 표면을 부식시키지는 않으면서, 챔버 표면의 다른 일부에 증착된 화학적으로 더 단단한 잔류물을 세정하는 것은 어렵다. 예를 들어, 챔버 입구 또는 배기구 근방에 형성된 에천트 잔류물은 흔히, 더 높은 농도의 레지스트, 하드 마스크, 또는 에칭되는 재료를 통상 포함하는 기판 근방에 형성된 잔류물보다 더 높은 농도의 에천트 가스 종들을 갖는다.
조성적으로 상이한 에천트 잔류물을 균일하게 에칭하는 세정 플라즈마를 형성하는 것은 어렵다. 따라서, 약 100 또는 300 개의 웨이퍼를 세정한 후에, 에칭 챔버는 대기에 개방되어 "습식 세정 (wet-cleaning)" 공정으로 세정되며, 여기서 오퍼레이터는 챔버 벽으로부터 축적된 에천트 잔류물을 제거하고 용해시키기 위해 산 또는 용제를 사용한다. 일관된 챔버 특성을 제공하기 위해, 습식 세정 단계 후에, 챔버 및 그 내부 표면은 장기간 동안에 챔버를 펌핑 다운 (pumping down) 하고, 그 후 더미 웨이퍼 상에서 일련의 에칭 공정이 수행되어 "시즈닝된다 (seasoned)". 내부 챔버 표면은 일관된 화학적 표면을 나타내야하는 데, 즉, 표면 화학 원자단들의 농도, 형태, 기능에 있어서 거의 변화가 없거나 전혀 변화가 없는 표면을 나타내어야 하며, 그렇지 않으면, 챔버 내에서 실행되는 에칭 공정은 기판마다 변화하는 에칭 결과를 낳게 된다. 펌핑 다운 (pumping-down) 공정에서, 챔버는 2 내지 3 시간동안 고진공 환경으로 펌핑 다운되어 습식 세정 공정동안 챔버 내에 가두어진 습기 및 다른 휘발성 종들을 배기시킨다. 그 후, 챔버 내에서 실행될 에칭 공정은 1 세트의 더미 웨이퍼 상에서 10 내지 15 분동안 또는 챔버가 일관되고 재현 가능한 에칭 특성을 제공할 때까지 수행된다.
경쟁적인 반도체 산업에서, 건식 또는 습식 세정 및 시즈닝 공정 단계동안의 에칭 챔버의 중단 시간으로부터 초래되는 기판당 증가된 비용은 바람직하지 않다. 통상, 건식 세정 공정 단계에 대해 5 내지 10 분이 걸리고, 습식 세정 공정을 완성하는 데에 2 내지 3 시간이 걸린다. 또한, 습식 세정 및 시즈닝 공정은 흔히 일관되지 않고 가변적인 에칭 특성을 제공한다. 특히, 습식 세정 공정은 오퍼레이터에 의해 수동으로 실행되기 때문에, 흔히 세션마다 변하여 챔버 표면 특성의 변화와 에칭 공정의 낮은 재현성을 초래한다. 따라서, 챔버 표면 상에 에천트 잔류물의 증착을 제거하거나 없앨 수 있는 에칭 공정을 갖는 것이 바람직하다.
반도체 제조에서, 예를 들어, 텅스텐 실리사이드, 폴리실리콘, 질화실리콘, 및 이산화실리콘 등의 실리콘 함유 재료와 같이, 유사한 성분요소를 갖는 다층 재료의 에칭에서 또다른 형태의 문제점이 발생한다. 도 1a 및 1b 를 참조하면, 반도체 기판 (25) 상의 통상적인 다층 폴리사이드 구조는, 도핑되거나 도핑되지 않은 폴리실리콘층 (24) 위에 증착된 금속 실리사이드층 (22) 을 구비한다. 이산화실리콘층 (26) 위에 폴리사이드층이 형성되고 에칭되어 에칭된 형태 (29) 가 형성된다. 이러한 다층 구조에서, 위에 있는 레지스층 (28) 또는 아래에 있는 폴리실리콘층 (24) 에 대해서 금속 실리사이드층 (24) 을 에칭하기 위한 높은 에칭 선택비를 얻는 것은 어렵다. 평면이 아니고 매우 복잡한 지형을 갖는 폴리사이드 구조를 에칭하기 위해서는 높은 에칭 선택비를 갖는 것이 바람직하다. 에칭 공정 동안 어느 시점에서, 더 얇은 금속 실리사이드층 (22) 은 완전히 에칭되어 그아래에 있는 폴리실리콘층 (24) 의 에칭이 시작되는 반면, 더 두꺼운 금속 실리사이드층 (22) 은 여전히 에칭되고 있다. 따라서, 예를 들어, 폴리실리콘층 (24) 의 속도에 비해서 더 빠른 속도로 금속 실리사이드층 (22) 을 에칭하는 것이 바람직하다. 예를 들어 도 1c 및 1d 에 도시된 바와 같이, 실리콘 (36) 을 구비하는 기판 내에 트렌치를 형성하기 전에, 매우 얇은 이산화실리콘층 (34) 상의 질화실리콘의 마스크층 (32) 을 에칭하는 데에 있어서 동일한 문제가 발생한다. 에칭된 트렌치 (38) 는 기판 상에 형성된 능동 MOSFET 장치를 분리하는 데에 사용된다. 이산화실리콘에 대해 질화실리콘을 에칭하기 위한 에칭 선택비는, 이산화실리콘층 (34) 을 통해 에칭하지 않고서 이 이산화실리콘층 (34) 상에서 멈추도록 매우 높아야 한다.
높은 에칭 선택비는 특정한 층과의 특정 공정 가스 조성의 화학적 반응성에 의존하는 상당히 다른 에칭 속도로 다른 실리콘 함유 재료를 에칭하는 공정 가스 조성을 사용하여 얻는다. 그러나, 폴리실리콘에 대해 높은 선택도로써 금속 실리사이드층을 에칭하는 것, 또는 이산화실리콘층에 대해 높은 선택도로써 질화실리콘층을 에칭하는 것은 특히 어려운데, 이는 양 재료 모두가 실리콘 원소를 포함하고 대부분의 종래 에천트 플라즈마는 실리콘 함유 층을 에칭하여 가스 상태의 SiClx또는 SiFx종들을 형성하기 때문이다. 따라서, 에천트 플라즈마가 화학적으로 구별하여 폴리실리콘층 (24) 보다 금속 실리사이드층 (22) 을 더 빨리 에칭하고, 이산화실리콘층 (34) 보다 질화실리콘층 (32) 을 더 빨리 에칭하는 것은 어렵다.챔버 측벽 상에 형성된 에천트 잔류물도 또한 이산화실리콘을 함유하고 폴리사이드 에칭 공정 동안의 에천트 잔류물을 제거하고자 하는 시도가 에칭 속도 또는 이 층들의 에칭에 대한 에칭 선택도를 낮출 수 있기 때문에 이러한 문제는 더 악화된다.
따라서, 에칭 챔버 내에 에천트 잔류물의 형성을 감소시키는 에칭 공정을 갖는 것이 바람직하다. 또한, 에천트 또는 세정 가스가 챔버 내의 노출된 표면을 부식시키지 않는 것이 바람직하다. 또한, 원래의 화학적 반응성 및 챔버 표면의 표면 작용기들을 회복시키는 에칭 또는 세정 공정을 갖는 것이 바람직하다. 또한, 세정 공정이 다양한 두께와 다양한 화학적 조겅 및 반응성을 갖는 화학적으로 부착된 에천트 잔류물층을 아래에 있는 챔버 표면의 과도한 부식이 없이 제거하는 것이 바람직하다.
본 출원은 참조로 1997년 11월 12일에 출원된 미국출원 08/969,122 호의 일부계속출원 (continuation-in-part) 이다.
본 발명은 기판 처리에 사용되는 챔버를 세정하는 방법에 관한 것이다.
도 1a 는 이산화실리콘, 폴리실리콘, 금속 실리사이드 및 레지스트층을 갖는 기판의 개략 단면도이다.
도 1b 는 금속 실리사이드 및 폴리실리콘층을 에칭한 후의 도 1a 의 기판의 개략 단면도이다.
도 1c 는 질화실리콘의 마스크층을 갖는 실리콘 또는 폴리실리콘 기판의 개략 단면도이다.
도 2 는 본 발명의 에칭 장치의 개략 측단면도이다.
도 3 은 기판을 에칭하고 동시에 에칭 챔버 및 그 구성요소의 표면을 세정하는 데 사용되는 공정 단계들의 플로우 차트이다.
도 4 는 마스크층 및 아래에 있는 실리콘 함유층을 구비한 기판이 에칭되는 종래 공정에 의해 챔버의 내부 표면 상에 형성된 다층 에천트 잔류물의 성분을 나타내는 그래프이다.
도 5 는 마스크층 및 아래에 있는 실리콘 함유층을 구비한 기판이 에칭되는본 발명의 공정에 의해 챔버의 내부 표면 상에 형성된 다층 에천트 잔류물의 성분을 나타내는 그래프이다.
도 6 은 노출된 실리콘 웨이퍼 상에 형성된 에천트 잔류물의 증착 및 제거 속도를 나타내는 그래프이다.
도 7 은 다른 조성 및 두께를 가진 잔류물의 제거 속도를 나타내는 그래프이다.
도 8 은 플라즈마 소스 전력 레벨의 증가에 따른 잔류물의 제거 속도를 나타내는 그래프이다.
본 발명은 에칭 챔버 내의 기판을 에칭하고 챔버 표면 상에 형성된 에천트 잔류물을 세정하는 방법을 제공한다. 챔버 내의 표면이란 챔버 벽 자체를 포함한 챔버 엔클로저 내부의 모든 표면, 및 가스 분배기 (gas distributor), 정전기 척 (electrostatic chuck), 포커스 링 (focus ring) 및 다른 구성요소 등의 챔버 내의 구성요소의 표면을 의미한다.
일 양태에서, 챔버로부터 에천트 잔류물을 세정하여 챔버를 처리하는 방법은 제 1 의 에너자이징된 (energized) 가스를 챔버 내에 제공하여 표면을 세정하는 단계, 및 그 후 제 2 의 다른 공정 가스를 챔버 내에 제공하여 표면을 더 세정하는 단계를 구비한다.
본 발명의 또다른 양태에서, 챔버 내의 기판을 세정하고 챔버 내에서 표면으로부터 에천트 잔류물을 세정하는 방법은 챔버 내에 기판을 배치하는 단계 및 제 1 단계에서, 기판 에칭 가스 및 제 1 세정 가스를 구비하는 에너자이징된 제 1 공정 가스를 제공하는 단계를 구비한다. 제 2 단계에서, 제 2 의 다른 세정 가스를 구비하는 에너자이징된 제 2 공정 가스가 제공된다.
본 발명의 또다른 양태에서, 챔번 내에서 기판을 에칭하고 챔버 내에서 표면으로부터 에천트 잔류물을 세정하는 방법은 챔버 내에 기판을 배치하는 단계, 기판 상의 제 1 재료를 에칭하여 챔버 내의 표면 상에 제 1 에천트 잔류물을 증착시키는 단계, 제 2 에천트 잔류물과 조성적으로 다른 제 1 에천트 잔류물 상으로 제 2 에천트 잔류물의 증착을 억제하면서 기판 상에 제 2 재료를 에칭하는 단계, 및 챔버 내의 표면 상에 형성된 제 1 및 제 2 에천트 잔류물의 증착물을 세정하는 단계를 구비한다.
본 발명의 또다른 양태에서, 챔버 내에서 기판을 에칭하고 챔버 내에서 표면으로부터 에천트 잔류물을 세정하는 방법은 챔버 내에서 기판을 정전기적으로 홀딩하는 단계, 기판을 에칭하는 에칭 가스를 구비하는 제 1 의 에너자이징된 가스 및 잔류물 세정 가스를 제공하는 단계, 및 챔버 내에 제 2 의 에너자이징된 가스를 제공하여 챔버 내의 표면 상에 증착된 에천트 잔류물을 세정함과 동시에 기판 내에 축적된 잔류 전하 (residual charge) 를 제거하는 단계를 구비한다.
본 발명의 또다른 양태에서, 챔버 내에서 기판을 에칭하고 챔버 내에서 표면 상에 형성된 잔류물을 세정하는 방법은 챔버 내에 기판을 배치하는 단계, 에너자이징된 가스를 사용하여 기판 상의 하나 이상의 재료를 에칭하는 단계, 및 산소를 구비하는 또다른 에너자이징된 가스를 사용하여 챔버 내에서 표면 상에 형성된 잔류물을 세정하는 단계를 구비하고, 기판 상의 하나 이상의 재료를 에칭할 때 사용되는 상기 에너자이징된 가스의 하나 이상의 조성은 Cl2, N2, O2, HBr 및 He-O2중 하나 이상을 구비하는 에칭 가스 및 CF4, SF6및 NF3중 하나 이상을 구비하는 잔류물 세정 가스를 포함한다.
본 발명의 또다른 양태에서, 정전기 척을 구비하는 챔버 내에서 기판을 에칭하고 이 챔버 내에서 기판 상에 형성된 잔류물을 세정하는 방법은 기판을 챔버로 운반하여 정전기 척 상에 기판을 정전기적으로 홀딩하는 단계, 기판 상에 마스크층을 에칭하도록 챔버 내에 에너자이징된 가스를 제공하여 챔버 내의 표면 상에 마스크층으로부터 생긴 화학종들을 구비하는 잔류물을 형성하는 단계, Cl2, N2, O2, HBr 및 He-O2중 하나 이상을 구비하는 에칭 가스 및 CF4, SF6및 NF3중 하나 이상을 구비하는 잔류물 세정 가스를 구비하는 또다른 에너자이징된 가스를 챔버 내에 제공하여 마스크층 아래의 재료를 에칭하는 단계, 및 챔버 내에 산소 함유 플라즈마를 제공하여 기판을 디처킹 (dechucking) 하는 것을 돕고 챔버 내의 기판 상에 형성된 잔류물을 세정하는 단계를 구비한다.
본 발명의 또다른 양태에서, 챔버를 세정하여 챔버 내의 표면으로부터 잔류물을 제거하는 방법은 에너자이징된 제 1 공정 가스를 챔버 내에 제공하여 챔버 내의 표면을 세정하는 단계, 및 챔버 소스 파워를 조정하여 표면으로부터 제거되는잔류물의 양을 제어하는 단계를 구비한다.
본 발명의 이들 및 기타 특징, 양태, 및 장점을 본 발명의 예들을 설명하는 첨부 도면, 상세한 설명, 및 특허청구범위를 통하여 더 자세히 설명한다. 상세한 설명 및 도면은 본 발명의 전형적인 특징을 설명하고 있으나, 각 특징은 특정 도면 상에서만이 아니라 본 발명에서 일반적으로 이용될 수 있으며 본 발명은 이 특징들의 조합을 포함한다.
본 발명에 따라 기판 (25) 을 에칭하기에 적합한 장치 (20) 는, 도 2 에 개략적으로 도시되어 있는 바와 같이, 기판을 처리하기 위한 공정 지역 (process zone) 을 한정하는 에워싸인 공정 챔버 (30) 을 구비한다. 로드락 (load-lock) 이송 영역 (도시 안됨) 은 1 카세트 (cassette) 의 기판 (25) 을 홀딩하기 위해 저압에서 유지된다. 여기 나타난 장치 (20) 의 특정 실시예는 반도체 기판 (25) 의 처리에 적합하며, 단지 본 발명을 예를 들어 설명하기 위해 제공되는 것이지, 본 발명의 범위를 한정하기 위해 사용되는 것은 아니다. 에워싸인 챔버 (30) 는 금속, 세라믹, 유리, 폴리머, 및 복합 재료를 포함하는 다양한 재료중 하나로부터 제조된 측벽 (45) 및 바닥 벽 (50) 을 가진다. 에칭 챔버 (30) 내에 한정되는 공정 지역은 약 10,000 cm3이상의 체적, 더 바람직하게는 약 10,000 내지 약50,000 cm3의 체적을 구비하고, 기판 바로 위에서 기판 (25) 을 둘러싼다. 이 챔버는, 평평하거나 직사각형, 아치형, 원뿔형, 돔형 (dome-shaped), 또는 다중 반경 (multiradius) 의 돔형인 천장 (55) 를 구비한다. 천장 (55) 은 돔형으로 되어 플라즈마 공정 지역의 전체 체적을 가로질러 균일한 분포의 플라즈마 소스 파워를 제공한다. 돔형 천장 (55) 은 평평한 천장보다 기판 중심 (60) 으로부터 더 멀어서 기판 (25) 근방에서 해리 이온 재결합 손실 (dissociated ionh recommbination losses) 을 감소시킬 수 있어 평평한 천장보다 기판 표면을 가로질러 더 균일한 플라즈마 이온 밀도를 제공한다. 돔 천장 (55) 은 기판 (25) 위에서 돔형 표면을 제공하는 평면 돔 (flattened dome), 원뿔형, 원뿔대 형태, 원통형, 또는 이러한 형상들의 다른 조합일 수 있다.
공정 가스는, 가스 흐름 제어 밸브 (80) 를 동작시키는 종래의 컴퓨터 시스템 (75) 을 구비하는 가스 흐름 제어 시스템 및 공정 가스 공급기 (70) 를 포함하는 가스 분배 시스템 (65) 를 통하여 챔버 (30) 내로 도입된다. 이 가스 분배 시스템 (65) 은 (도시된 바와 같이) 기판 (25) 주위에 배치된 가스 배출구 (85) 를 구비하거나, 챔버 (30) 의 천장에 탑재되며 내부에 배출구를 갖는 샤워헤드를 구비할 수 있다. 제 2 가스 공급 시스템 (200) 은 전기적으로 동작되는 밸브 (205) 를 통해 세정 가스를 챔버 (30) 로 제공한다. 소모된 공정 가스 및 에천트 부산물은 공정 챔버로부터, 챔버 (30) 내에 약 10-3mTorr 의 최소 압력을 이룰 수 있는 (통상 1000 liter/sec 의 러핑 펌프 (roughing pump) 를 포함하는) 배기 시스템(90) 을 통해 배출된다. 스로틀 밸브 (95) 는 배기 시스템 (90) 내에 제공되며, 소모된 공정 가스의 흐름 및 챔버 (30) 내의 공정 가스의 압력을 제어한다. 비대칭 펌핑 채널 (asymmetric pumping channel; 100) 은 챔버 (30) 로부터 가스를 펌핑 아웃하여 기판의 표면 (105) 주위에 가스 종들의 더욱 대칭적이고 균일한 분배를 제공한다.
전자기장을 챔버의 공정 지역 내의 가스로 커플링하는 플라즈마 발생기 (110) 을 사용하여, 챔버 (30) 내로 도입된 공정 가스로부터 플라즈마가 발생된다. 선택적으로, 공정 가스는 원거리의 챔버 내에서 에너자이징되거나 활성화될 수 있고 이 에너자이징되거나 활성화된 공정 가스는 원거리의 챔버로부터 챔버 (30) 내로 도입될 수 있다. 적당한 플라즈마 발생기 (110) 는, 공정 챔버 (30) 의 중심을 통해 연장하는 종방향 수직축과 일치하고 기판 (25) 의 평면에 수직인 중심축에 원형의 대칭성인 하나 이상의 인덕터 코일로 이루어진 인덕터 안테나 (115) 를 구비한다. 인덕터 안테나 (115) 는 1 내지 10 회 감긴, 더욱 일반적으로는 2 내지 6 회 감긴 솔레노이드 코일을 구비한다. 솔레노이드 코일의 수 및 그 배치는, 전류와 안테나 권수의 원하는 곱 ((d/dt)(NㆍI)) 을 천장 (55) 근방에 제공하여 참고로 미국 특허출원 제 08/648,254 에 설명된 바와 같이, 강한 유도성 자속 쇄교수 (inductive flux linkage) 에 플라즈마로의 밀결합 (close coupling) 을 제공하고 이에 따라 기판 (25) 에 인접한 플라즈마 지역 내에 더 큰 플라즈마 이온 밀도를 제공하도록 선택한다. 인덕터 안테나 (115) 가 돔 천장 (55) 근방에 배치될 때, 챔버 (30) 의 천장은, 서로 연결되어 굽은 형상을 제공하는 가공된 이산화실리콘의 슬랩 (slab) 또는 실리콘이나 이산화실리콘의 타일 (tile) 등의, 전자기장에 투과되는 유전체 재료를 구비한다. 공정 챔버 (30) 의 측벽 (45) 주위에 감겨진 인덕터 코일 (115) 은, 이온 밀도가 인덕터 코일 (115) 근방의 국부적인 이온화에 의해 영향 받고 다중 반경 인덕터 코일이 반구상 코일 (hemispherical coil) 보다 기판 중심 (60) 에 더 가깝기 때문에 기판 중심 (60) 위에 증가된 플라즈마 이온 밀도를 직접 제공하는 평면 돔 형상을 갖는 다중 반경의 돔 형상의 인덕터 코일이다. 또다른 실시예에서, 천장 (55) 은 적어도 중심 반경 (center radius; R) 및 코너 반경 (corner radius; r) 을 갖는 다중 반경 돔을 구비하며, 여기서 r 은 중심 반경 (R) 보다 작고 R/r 은 약 2 내지 약 10 이다. 인덕터 안테나 (115) 에 인가되는 RF 전압의 주파수는 일반적으로 약 50 KHz 내지 약 60 MHz 이고, 더욱 일반적으로는 약 13.56 MHz 이며, 안테나에 인가되는 RF 전압의 전력 레벨은 약 100 내지 약 5000 Watts 이다.
또한, 플라즈마 지역 내에 형성된 플라즈마는 자기적으로 강화된 반응기를 사용하여 강화할 수 있으며, 이 반응기 내에서 플라즈마 지역 내에서 자기장을 인가하여 플라즈마의 밀도 및 균일성을 증가시키도록 영구 자석 또는 전자기 코일 등의 자기장 발생기 (도시 안됨) 을 사용한다. 이 자기장은, 참고로 1980년 6월 27일 특허된 미국특허 제 4,842.683 에 설명되어 있는 바와 같이, 기판의 평면에 평행하게 회전하는 자기장의 축으로 회전하는 자기장을 구비한다. 챔버 내의 자기장은 플라즈마 내에 형성되는 이온의 밀도를 증가시키기에 충분히 강해야 하고, CMOS 게이트 등의 구조물들에 차아지 업 손상 (charge-up damage) 을 감소시키기에 충분히 균일하여야 한다. 일반적으로, 기판의 표면 상에서 측정되는 자기장은 약 500 Gauss 보다 더 작고, 더 일반적으로는 약 10 내지 약 100 Gauss 이고, 가장 일반적으로는 약 10 Gauss 내지 약 30 Gauss 이다.
인덕터 안테나 (115) 에 더하여, 챔버 (30) 내의 플라즈마 이온을 가속시키거나 에너자이징하기 위해 하나 이상의 공정 전극을 사용할 수 있다. 이 공정 전극은 챔버의 천장 (55) 및/또는 측벽 (45) 등의 공정 챔버 (30) 의 벽을 형성하는 제 1 전극을 포함한다. 제 1 전극은 기판 (25) 아래의 제 2 전극과 용량적으로 커플링한다. 전극 전원은 제 1 전극 및 제 2 전극을 서로 다른 전위에 유지시키는 RF 전위를 공급한다.
에워싸인 챔버 (30) 는 다른 기능을 제공하는 하나 이상의 세라믹 표면을 구비한다. 예를 들어, 일 실시예에서, 공정 챔버의 벽 (45, 50, 55) 는, 특정 에천트 가스 조성물에서 화학적인 부식으로부터 벽을 보호하기 위해 탄화붕소 , 질화붕소, 실리콘, 산화실리콘, 실리콘 카바이드 (silicon carbide), 또는 질화실리콘 등의 세라믹 재료로 코팅되거나 이러한 세라믹 재료로 제조한다. 예를 들어, 탄화붕소는 플루오르화 가스 환경에서 부식으로부터 챔버의 측벽 (45) 을 보호하는 데에 유용하다. 또다른 예로서, 사파이어 (산화알루미늄) 가스 분배판 (gas distribution plates) 을 공정 가스를 챔버 (30) 내로 방출하는 데에 사용할 수 있다. 챔버가 세라믹 표면을 구비하는 경우에, 그 에천트 잔류물이 산화알루미늄, 질화알루미늄, 실리콘, 및 산화실리콘 세라믹 표면 등의 챔버 (30) 내의 세라믹 표면 중의 어떤 표면 (예를 들어, 반응성이 매우 큰 표면 작용기 (surfacefunctional group) 을 갖는 모놀리딕 세라믹 부재 (135) 의 표면) 과 반응할 수 있기 때문에, 에천트 잔류물을 제거하기 어렵다. 예를 들어, 산화알루미늄 또는 질화알루미늄 표면은, 산소 또는 습기에 노출될 때, 에천트 잔류물과 화학적으로 반응하는 Al-OH-표면 작용기를 형성하여 챔버 표면 또는 구성요소 상에 단단히 부착하는 코팅을 형성한다.
공정 챔버 (30) 내의 유용한 또다른 세라믹 표면은 기판 (25) 을 상부에 수용하는 세라믹 수용 표면 (140) 를 갖는 모놀리딕 세라믹 부재 (135) 의 표면이다. 적당한 세라믹 재료는 산화알루미늄, 질화알루미늄, 탄화붕소, 질화붕소, 실리콘, 산화실리콘, 실리콘 카바이드, 질화실리콘, 및 산화티탄 중의 하나 이상을 포함한다. 제 2 전극은 세라믹 부재 (135) 내에 매립되어 있어 세라믹 재료가 완전히 제 2 전극을 둘러싸서 하나의 모놀리딕 세라믹 부재를 형성할 수 있다. 제 2 전극은 텅스텐, 탄탈 또는 몰리브덴 등의 도전체 금속으로 제조된다. 매립된 제 2 전극은 "핫 (hot)" RF 전위가 인가되는 도전체이고, 다른 전극은, 접지 전위 또는 플로팅 전위 (floating potentials) 를 포함하여, 제 2 전극에 대해 다른 전위로 유지된다. 제 2 전극이 하나의 세라믹 부재 (135) 내에 매립되어 있기 때문에, 제 2 전극은 추가적인 절연체 쉴드 (insulator shields) 에 의해 챔버 (30) 로부터 전기적으로 절연시킬 필요가 없으나, 그렇지 않으면 제 2 전극과 접지된 챔버 벽 (45, 50) 사이에 초래될 챔버 (30) 내의 기생 정전용량 임피던스를 감소시킨다. 제 2 전극일 수 있는 정전기 척 (145) 은, 전극 (125) 에 접속하기 위해세라믹 부재 (135) 를 통해 삽입된 전기 도체를 통해 인가되는 DC 처킹 전압을 이용하여 세라믹 부재 (135) 의 수용 표면 (140) 에 기판 (25) 을 정전기적으로 홀딩하는 정전기 전하를 생성시킨다.
제 1 전극 및 제 2 전극은, 제 2 전극에 플라즈마 발생 RF 전압을 제공하기 위한 이 전극에 AC 전원 및 처킹 전압을 제공하기 위한 DC 전원 (165) 를 포함하는 전극 전원에 의해 서로에 대해 전기적으로 바이어싱된다. AC 전원은 13.56 MHz 내지 400 KHz 의 하나 이상의 주파수를 갖는 RF 발생 전압을 제공하여 챔버 (30) 내에 용량적으로 커플링된 플라즈마를 형성한다. 전극에 인가되는 RF 바이어스 전류의 전력 레벨은 통상 약 50 내지 약 3000 Watts 이다. 전원 (165) 로부터 분리된 DC 전압이 전극 (125) 에 인가되어 기판 (25) 을 척 (145) 에 홀딩하는 정전기장을 형성한다. RF 전력은 브리지 회로 (bridge circuit) 및 전기적 필터에 커플링되어 DC 처킹 전력을 전극 (125) 에 제공할 수 있다.
이하에서, 기판 (25) 상의 하나 이상의 층을 에칭하고 동시에 체칭 챔버를 세정하기 위한 에칭 챔버 (30) 의 동작을 설명한다. 기판 (25) 은, 예를 들어, 실리콘 또는 갈륨 비소 웨이퍼 등의 반도체 재료와 함께, 복수의 층들을 구비하며, 이 복수의 층들은, 예를 들어, MOS 트랜지스터용 게이트 산화층으로 기능하는 이산화실리콘의 하층, 및 텅스텐 실리사이드, 코발트 실리사이드 및 아래에 있는 폴리실리콘층의 조합으로된 폴리사이드의 상층을 구비한다. 기판 (25) 상의 금속 실리사이드층은, 예를 들어, 텅스텐, 탄탈, 티탄, 또는 몰리브덴 실리사이드를 구비한다. 폴리실리콘층은 금속 실리사이드층 아래에 그리고 이산화실리콘층 위에 있다. 기판 (25) 상에 포토레지스트, 또는 이산화실리콘 또는 질화실리콘 하드 마스크 등의 패터닝된 마스크층을 형성된다. 마스크층들 사이의 기판의 노출 부분은 에칭되어, 예를 들어, MOS 트랜지스터용 게이트 전극 제조용 콘택트 홀; 게이트 전극으로 통상 사용되는 폴리사이드 상호접속 구조물; 및 절연성의 산화/질화 실리콘층에 의해 분리된 2 이상의 전기적 도전층을 전기적으로 접속하는 데에 사용되는 다층 금속 구조물인 비아 (via) 등의 구조물들을 형성한다. 또다른 예에서, 기판은 실리콘의 (도핑된 또는 도핑되지 않은) 기판 상에 질화실리콘층 또는 산화실리콘층을 구비한다.
본 발명의 공정을 실행하기 위해, 기판 (25) 은 로봇 아암 (robot arm; 170) 을 사용하여 로드락 이송 챔버 로부터 슬릿 밸브를 통해 챔버 (30) 내로 이송된다. 리프트 핑거 어셈블리 (lift finger assembly) (도시 안됨) 는 척 (145) 으로부터 기판 (25) 을 수용하거나 들어올리도록 척 (145) 내의 리프트 핑거 개구를 통해 연장된 리프트 핀을 가진다. 이 로봇 아암 (170) 은, 척 (145) 의 표면 위로 약 2 내지 5 센티미터 연장되도록 공기압 리프트 장치에 의해 들어올려지는 리프트 핑거 (도시 안됨) 의 끝에 기판 (25) 을 위치시킨다. 이 공기압 장치는, 컴퓨터 시스템의 제어하에서, 기판 (25) 을 정전기 척 (145) 상으로 내려 놓고, 척 내의 구멍 (175) 을 통해 헬륨이 공급되어 기판 (25) 의 온도를 제어하도록 한다.
기판을 에칭하기 위한 에천트 가스를 구비하는 공정 가스가 가스 배출구 (85) 를 통해 챔버 (30) 내로 도입되고, 이 챔버는 통상 약 0.1 내지 약 400 mTorr 범위의 압력으로 유지된다. 기판 (25) 상의 층을 에칭하기 위해 적당한 에천트가스는, 예를 들어, HCl, BCl3, HBr, Br2, Cl2, CCl4, SiCl4, SF6, F, NF3, HF, CF3, CF4, CH3F, CHF3, C2H2F2, C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2Cl2, CFCl3, O2, N2, He, 및 이들의 혼합물을 포함한다. 에천트 가스는 높은 에칭 속도 및 에칭될 특정 층에의 높은 선택도의 에칭을 제공하도록 선택한다. 다층을 연속 에칭하는 경우에, 제 1, 제 2, 제 3 등의 에천트 가스 조성물을 챔버 내로 연속 도입하여 각 특정 층을 에칭한다. 도 2 를 참조하면, 플라즈마는, 플라즈마 발생기 (110) 를 사용하여 에너지를 유도적으로 및/또는 용량적으로 챔버 (30) 내로 커플링함으로써 에천트 가스로부터 챔버 (30) 내로 에너자이징된다. 예를 들어, 플라즈마는 챔버 (30) 를 둘러싼 인덕터 안테나 (115) 로 RF 소스 전류를 인가하고 전극에 RF 바이어스 전압을 인가함으로써 형성할 수 있다. 에천트 가스는 인가된 전계 내에서 이온화하여 기판 (25) 상의 층을 에칭하는 이온 및 중성입자 (neutrals) 를 형성함으로써 챔버 (30) 로부터 배출되는 휘발성 가스 종들을 형성한다.
본 공정은 기판 상의 하나 이상의 층을 에칭하고 에칭 공정을 중단함이 없이, 그와 동시에 에칭 공정이 실행된 플라즈마 에칭 챔버 (30) 를 세정할 수 있도록 한다. 하나 이상의 에칭 공정 단계들에서, 이 에칭 단계들 중의 임의의 하나의 단계에서 형성된 에칭 잔류물 또는 이 에칭 단계들 모두에서 형성된 잔류물이 에칭 공정 동안에 실질적으로 완전히 제거되도록 선택된 체적비로 세정 가스를 에천트 가스에 더한다. 에천트 가스는 Cl2, N2, O2, HBr, 또는 He-O2중의 하나 이상을 구비하고, 세정 가스는 NF2, CF4, 또는 SF6중의 하나 이상을 구비한다. 이 가스들의 조합은 독특하고 예기치 않은 에칭 및 세정 특성을 제공함을 발견하였다.
에칭 가스에 대한 세정 가스의 체적 유량비 (volumeric flow ratio) 는, 기판의 공정 중에 챔버 (30) 를 세정하기 위해 별도의 세정 단계를 실행하지 않고서 적어도 2000 내지 적어도 3000 개의 기판 (25) 의 처리로부터 발생된 에천트 잔류물을 제거하도록 선택한다. 세정 가스 대 에천트 가스의 적당한 체적 유량비는 약 1:20 내지 약 1:1 이고, 더 바람직하게는 약 1:10 내지 약 2:3 이고, 가장 바람직하게는 약 2:3 이다. 세정 가스 대 에천트 가스의 이러한 체적 유량비에서, 챔버 표면 (45, 50, 55) 상의 모든 에천트 잔류물이 챔버 표면을 부식하지 않고서 제거된다는 것을 발견하였다. 또한, 챔버 표면이, 분리된 챔버 컨디션닝 (conditioning) 또는 시즈닝 (seasoning) 단계를 요하지 않고서, 에천트 및 세정 가스 조합 단계에 의해 세정되고 컨디션닝됨을 발견하였다. (에칭 공정을 종결시키거나 분리된 세정 또는 컨디셔닝 단계을 요하지 않고서) 기판 (25) 을 에칭하고 동시에 챔버 (30) 내의 표면으로부터 에칭 잔류물을 제거하는 것의 공동 상승 작용 (synergism) 은 기판마다 상당한 공정 안정성의 향상과 공정 처리량의 증대를 제공한다.
본 공정의 일례에서, 금속 실리사이드 또는 실리콘 (즉, 다결정 또는 단결정 실리콘) 기판 (25) 은, 에칭 공정의 완성시에 챔버 표면 상에 형성된 실질적으로 모든 에천트 잔류물을 제거하도록 선택된 체적 유량비로 Cl2, N2, 및 CF4, 그리고 선택적으로 O2를 구비하는 공정 가스의 플라즈마를 사용하여 에칭된다. Cl2, N2, 및 CF4의 체적 유량비는, 챔버를 세정하기 위한 분리된 세정 단계를 실행하지 않고서, 챔버 (30) 내에서 2000 개 이상의 기판 (25) 를 에칭함으로써 발생되는 실질적으로 모든 에천트 잔류물을 제거하도록 선택된다. CF4: (Cl2+ N2) 의 체적 유량비는 약 1 : 20 내지 약 1 : 1 일 수 있다. 또다른 예에서, 본 공정은 CL2, N2, 및 SF6를 구비하는 공정 가스를 사용하고, SF6: (Cl2+ N2) 의 체적 유량비는 약 1 : 20 내지 약 1 : 1 이다. 또다른 예에서는, 적당한 공정 가스는 Cl2, N2, 및 NF3를 구비하고, NF3: (Cl2+ N2) 의 체적 유량비는 약 1 : 20 내지 약 1 : 1 이다.
기판 (25) 이 에천트 가스에 의해 여전히 에칭되고 있는 동안, 챔버 표면을 처리하고 컨디셔닝하기 위해 세정 가스가 단시간 동안 돌발적으로 에칭 챔버 (30) 내로 도입된다. 에천트 가스를 구비하는 공정 가스는 챔버 (30) 내로 도입되고, 공정 가스의 조성은 원하는 에천트 가스 조성으로 조절되어 기판 상의 하나 이상의 다른 층들을 에칭한다. 에칭 공정 동안, 기판이 여전히 에칭되고 있는 동안에 세정 가스는 공정 가스로 돌발적으로 추가된다. 예를 들어, 돌발적인 세정 가스가 폴리실리콘층을 에칭하는 데에 사용되는 에천트 가스에 추가되고 폴리실리콘의 과도한 에칭 단계 전에 종료될 수 있다. 돌발적인 활성화된 세정 가스는 새로이 증착된 에천트 잔류물이 화학적으로 챔버 표면에 부착할 수 있게 되기전에 이 새로이 증착된 에천트 잔류물을 제거하는 많은 수의 매우 반응성이 큰 세정 종 (cleaning species) 을 제공한다. 또한, 제 1 에천트 가스 혼합물은 챔버 표면에 약하게 부착되거나 화학적으로 부드럽고 (chemically soft) 반응성이 큰 조성을 갖는 제 1 에천트 잔류물을 생성시킬 수 있으나, 제 2 에천트 가스가 챔버 내로 도입될 때, 제 2 에천트 가스는 제 1 에천트 잔류물과 반응하고 그것을 화학적으로 단단히 부착되는 잔류물로 만든다. 이러한 공정들에서, 돌발적인 세정 가스는 제 1 단계의 에칭 공정 동안에 챔버 내로 도입되어 새로이 생성된 에천트 잔류물이 또다른 화학 가스 혼합물에의 노출에 의해 화학적으로 변화되기 전에 이 새로이 생성된 에천트 잔류물을 제거한다. 또한, 챔버 (30) 로부터의 세정 가스의 높은 유량의 돌발적인 도입과 빠른 제거는 이 세정 가스가 결합하여 챔버 표면 및 구성 요소를 부식시키는 다른 화학종들을 형성할 가능성을 감소시킨다. 이러한 메카니즘은, 챔버 (30) 로부터 반응 부산물을 씻어내는 높은 유량의 돌발적인 가스 도입에 의해 더 촉진된다. 돌발적인 활성화된 세정 가스는, 약 40,000 cm3의 부피를 갖는 에칭 챔버 (30) 에 대해 약 5 내지 약 100 초의 시간 동안 적어도 약 40 내지 약 200 sccm 의 유량에 상당하는 유량 (FR) 으로 에칭 챔버 내로 도입되어 챔버 (30) 내의 벽 (45, 50, 51) 및 구성 요소를 실질적으로 부식시키지 않고서 에천트 잔류물을 세정한다.
처리가 완료된 후에, 기판 (25) 은 적당한 디처킹 (dechucking) 수단을 사용하여 디처킹된다. 공기압 리프팅 장치 (180) 은 정전기 척 (145) 을 통해 리프트 핀을 상승시켜 기판 (25) 을 상승시키고 로봇 이송 아암이 기판 (25) 과 척 사이에 삽입되어 기판을 리프트 핀으로부터 들어올린다. 그 후, 리프트 핀은 척 (145) 안으로 들어가소 로봇 아암이 에칭 챔버 (30) 로부터 진공 상태로 유지된 이송 챔버 내로 제 1 기판 (25) 을 이송한다.
다중 에칭 단계
본 공정의 또다른 양태에서, 도 3 에 나타나 있는 바와 같이, 기판 상의 다층을 에칭하기 위해 다중 단계가 사용되고, 세정 가스가 하나 이상의 단계에서 도입되어 하나 이상의 다중 에칭 단계에서 챔버 표면 상에 증착된 에천트 잔류물을 제거한다. 이러한 공정은, 예를 들어, 텅스텐 실리사이드 및 폴리실리콘층의 다층을 구비한 폴리사이드 구조를 에칭하거나 실리콘 웨이퍼 상의 이산화실리콘층 또는 질화실리콘층을 에칭하는 데에 유용하다. 본 공정이 이러한 층을 에칭하는 것과 관련하여 설명하지만, 이 공정이 금속층 및 이산화실리콘층 등의 다른 층들을 에칭하는 데에도 사용할 수 있다. 따라서 ,본 공정은 여기 나타난 예들과 예시적인 방법들에 한정되는 것은 아니다.
다중 단계 공정은 복수의 에칭 단계들을 구비한다. 제 1 에칭 단계에서, 제 1 에천트 가스가 공정 챔버 (30) 내로 도입되고, 플라즈마가 제 1 에천트 가스로부터 형성되어 기판 (25) 상의 제 1 층 (예를 들어, 텅스텐 실리사이드층) 을 에칭한다. 제 1 층 또는 텅스텐 실리사이드층은 통상 염소 함유 가스, 예를 들어, 염소 및 질소, 그리고 선택적으로 산소의 혼합물을 구비하는 제 1 에천트 공정 가스를 사용하여 에칭된다. 염소 대 질소의 체적 유량비는 약 1:3 내지 약 1:1이고, 더 바람직하게는 약 2:3 이다. 챔버 (30) 내의 압력은 1 내지 10 mTorr 에서 유지되고, 인덕터 코일 소스 전력 레벨은 200 내지 800 Watts 로 유지되며, 공정 전극 R.F. 바이어스 전력 레벨은 20 내지 120 Watts 로 유지된다.
제 2 에칭 단계에서, 제 2 에천트 가스가 챔버 (30) 내로 도입되고, 제 1 층이 에칭을 완료한 후에, 플라즈마가 형성되어 제 2 층 (예를 들어, 텅스텐 실리사이드층 아래의 폴리실리콘층) 을 에칭한다. 제 2 층 또는 폴리실리콘층은, 에천트 가스 조성이 각 에칭 단계 동안에 변화하면서, 하나 이상의 에칭 공정 단계에서 에칭될 수 있다. 적당한 제 2 에천트 가스 혼합물은 20 내지 120 sccm 인 유량의 Cl2, 약 80 내지 240 sccm 의 HBr, 및 약 2 내지 10 sccm 의 He-O2을 구비한다. 챔버 압력은 약 25 내지 200 mTorr 이다. 인덕터 안테나 (115) 에 인가되는 소스 전류의 전력 레벨은 약 200 내지 800 Watts 이고, 공정 전극에 인가된 전압의 바이어스 RF 전력 레벨은 약 20 내지 120 Watts 이다.
제 1 및 제 2 단계중 하나 이상의 단계에서, 이들 단계중 어느 하나의 단계에서 형성된 에칭 잔류물이 에칭 공정동안 실질적으로 완전히 제거되도록 선택된 체적 비율로 세정 가스가 에천트 가스에 추가된다. 제 1 및 제 2 단계에서 형성된 에천트 잔류물은 통상 할로겐, 탄소, 수소, 및 산소를 함유하는 중합체 유기 화합물을 구비한다. 실리콘 함유층이 에칭되고 있을 때, 에천트 잔류물은 기판 (25) 상의 텅스텐 실리사이드 및 폴리실리콘층의 에칭 동안에 형성되는 이산화실리콘 화합물을 주로 구비한다. 그러나, 에천트 잔류물의 조성은 제 1 층으로부터제 2 층으로 감에 따라 변화할 수 있어, 제 1 에천트 잔류물은 W 및 Si 종들을 더 많이 포함하고, 제 2 에천트 잔류물은 더 많은 Si 및 O 종들을 포함한다. 따라서, 텅스텐 실리사이드층이 에칭되는 제 1 에칭 단계에서 형성된 제 1 에천트 잔류물을 제거하기에 적당할 뿐만 아니라 폴리실리콘층이 에칭되는 제 2 에칭 단계동안에 형성된 제 2 에천트 잔류물을 제거하기에 적당한 체적 비율로, 세정 가스가 제 1 단계 또는 제 2 단계에서 공정 가스에 추가된다. 세정 가스 대 에천트 가스의 적당한 체적 유량비는 약 1:10 내지 약 2:3 이라는 것이 발견되었다. 예를 들어, 약 80 sccm 의 Cl2및 40 sccm 의 N2의 제 1 에천트 가스의 유량에 대해 80 sccm 의 유량의 CF4를 구비하는 세정 가스가 추가되어 약 2:3 의 세정 가스 대 제 1 에천트의 체적비를 제공한다. 세정 가스 대 에천트 가스의 이러한 체적 유량비는 챔버 표면 상의 실질적으로 (에칭 공정의 다른 단계들 동안에 형성된) 모든 에천트 잔류물이, 챔버 표면을 부식시키지 않고서, 제거된다는 것을 발견하였다. 또한, 분리된 시즈닝 또는 컨디셔닝 공정 단계를 요하지 않고서 챔버 표면은 에천트 및 세정 가스 조합에 의해 세정되고 컨디셔닝된다는 것을 예기치 않게 발견하였다.
본 발명의 챔버 처리 공정은, 에칭 공정의 실행동안에 에천트 잔류물의 두께 또는 화학적 조성에 관계없이 에천트 잔류물을 균일하게 제거한다는 것을 알았다. 종래 기술의 세정 공정들은, 특히 오퍼레이터에 의해 실행되는 공정들은 종종, 챔버 표면 상에 형성된 에천트 잔류물 증착물을 균일하게 세정하고 제거하지 못한다.챔버 표면 상의 에천트 증착물의 축적 (build-up) 은 에천트 증착물의 박리를 초래하여 챔버 내에서 에칭된 기판 (25) 를 오염시키게 된다. 실질적으로 모든 챔버 표면을 가로질러 형성된 에천트 잔류물을 균일하게 제거함으로써, 이러한 오염 및 그 결과로 초래되는 기판 (25) 수율의 감소가 실질적으로 최소화된다.
또한, 본 세정 공정은 에칭 챔버 내에서의 플라즈마의 감소된 에너지 레벨 때문에, 종래의 인시츄 (in-situ) 플라즈마 세정 단계에 비해서 챔버에 훨씬 더 적은 부식 손상 (erosive damage) 을 가져온다. 이러한 것은 종래 기술에서 달성하기 어려웠는데, 종래 기술에서는 잔류물 증착물에 사용되는 높은 전력의 플라즈마는 또한 챔버 표면 및 구성 요소의 광범위한 부식을 초래하였다. 챔버 구성 요소를 교체할 필요를 감소시킴으로써, 챔버 (30) 를 동작시키는 비용 및 기판 (25) 당 비용이 상당히 감소된다. 또한, 챔버 벽 및 구성 요소를 습식 세정하기 위해 처리를 멈추는 대신에, 활성화된 세정 가스가 기판 (25) 의 에칭 동안에 인시츄로 챔버 (30) 를 효과적으로 세정하는 데에 사용되어 에칭 처리량을 증가시키고 기판 당 비용을 더 감소시킬 수 있다. 세정 공정은 챔버 수명을 2 이상의 인수로 증가시키고, 또한 박리된 에천트 부산물의 기판 상으로의 증착을 감소시킴으로써 기판 수율을 증가시킬 것으로 기대된다.
본 세정 공정은, 세정 공정 후 챔버 컨디셔닝 공정을 요하지 않고서, 화학적으로 부착된 에천트 잔류물을 챔버 (30) 내의 표면으로부터 제거하고 본래의 화학적 반응성 및 이러한 표면의 표면 작용기를 회복시킨다. 또한, 세정 및 처리 공정은 챔버 표면에 강하게 부착되거나 이 챔버 표면과 화학적으로 반응하는 에천트 잔류물을 세정하는 데에 특히 유용하다. 세정 가스는 이러한 세라믹 표면을 처리하고 컨디셔닝하여 에칭 공정과 화학적으로 적합한 표면 화학 및 작용기를 제공한다. 컨디셔닝된 세라믹 표면은, 습식 세정 또는 RIE 세정 공정 등의 다른 챔버 세정 공정보다 챔버 (30) 내에서 더 재현 가능한 에칭 특성을 제공한다. 챔버 (30) 내에서 실행되는 에칭 공정의 상당히 개선된 재현성은 매우 바람직하다.
다중 세정 단계
본 발명의 일 양태에서, 기판 (25) 상의 다른 재료의 다층이 에칭 챔버 (30) 내에서 에칭되고, 에칭 챔버의 내부 표면 상에 형성된 에천트 잔류물은 다단계 세정 공정에 의해 제거되거나 세정된다. 이 다층은 도 2 의 챔버를 이용하여 에칭될 수 있고 에칭 가스는 예를 들어, HCl, BCl3, HBr, Br2, Cl2, CCl4, SiCl4, SF6, F, NF3, HF, CF3, CF4, CH3F, CHF3, C2H2F2, C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2Cl2, CFCl3, O2, N2, He, 및 이들의 혼합물을 포함할 수 있다. 에칭되는 이 층들은, 예를 들어, 다수의 실리콘 함유층들 위에 있는 마스크 또는 다른 단단한 층을 구비하며, 이러한 다수의 실리콘 함유층이란 실리콘 원소 및 이의 모든 화합물을 포함하는 원소 실리콘을 함유하는 재료로 이루어진 층을 의미한다. 기판 (25) 은 통상 실리콘 또는 갈륨 비소 웨이퍼 등의 반도체 재료를 구비하며, 예를 들어, MOS 트랜지스터용 게이트 산화층으로 기능하는 이산화실리콘의 하층, 및 금속 실리사이드 및 실리콘 함유층의 조합을 포함하는 폴리실리콘의 상층을 구비하는 전형적인 세트의 층들을 함께 가진다. 금속 실리사이드층은, 예를 들어, 텅스텐, 탄탈, 티탄, 또는 몰리브덴 실리사이드를 구비한다. 포토레지스트 또는 예를 들어 이산화실리콘 또는 질화실리콘 등의 단단한 마스크를 구비하는 마스크층은 기판 (25) 상에 형성된다. 에칭 공정에서, 이 마스크층은 에칭 챔버 (30) 내에서 에칭되어, 동일한 챔버 내에서 금속 실리사이드가 에칭되고, 그 후 폴리실리콘을 에칭하기 위한 메인 (main) 및 오버에치 (overetch) 에칭 단계가 또한 동일한 챔버에서 실행된다. 표 1 에 나타난 바와 같이, 다층을 에칭하기 위한 종래 공정 순서는 마스크 에칭 단계, 텅스텐 실리사이드 에칭 단계, 및 폴리실리콘층을 에칭하기 위한 메인 및 오버에치 에칭 단계를 포함한다. 이러한 공정 단계들 다음에는, 정전기 척으로부터 기판을 디처킹하는 것을 돕는 데에 (다층 잔류물 증착물에 반응성이 없는) 질소의 플라즈마가 사용되는 디처킹 단계가 실행된다. 질소 기반의 플라즈마는, 기판에 형성된 정전기 전하를 중성화시키는, 예를 들어 음성 전하인, 반대 전하를 제공하여 기판이 척으로부터 용이하게 분리될 수 있도록 한다.
공정 단계 압력(mTorr) 소스 전력(Watts) 바이어스 전력(Watts) 공정 가스 조성(sccm)
Si3N4마스크 에칭 4 950 300 45 CF4/130 He/20 CH2F2
WSix 5 300 100 50 Cl2
폴리실리콘 ME 4 270 40 20 Cl2/90 HBr/4 He-O2
폴리실리콘 OE 50 500 80 50 HBr/3 He-O2
전술한 전형적인 공정 등과 같이, 다단계 에칭 공정이 단일 챔버에서 실행될 때, 챔버 벽 및 표면 상에서 형성된 에천트 잔류물은 특히 종래 에칭 세정 공정들에 의해서는 제거하기 어렵다. 위에 있는 하드 마스크층의 에칭 및 이 후의아래 있는 폴리사이드층의 에칭 등의, 단일 챔버 내에서의 다층 재료의 연속적인 처리는 챔버의 내부 표면 상에 다층 재료를 구비하거나 샌드위치형의 구조를 갖는 에천트 잔류물의 형성을 초래한다. 예를 들어, 도 4 는 이러한 에칭 공정에 의해 챔버 벽 및 구성요소의 표면 상으로 증착되는 샌드위치형 잔류물의 조성적인 프로파일을 나타낸다. 이 예에서, 기판은 1000 Å 게이트 산화층, 1000 Å 폴리실리콘층, 1200 Å 텅스텐 실리사이드층, 2400 Å 질화실리콘 마스크층, 및 위에 있는 8000 Å 1-라인 포토레지스트층을 포함하는 실리콘 웨이퍼를 구비하였다. 질화물 마스크 에칭 단계 (301) 동안에, 비교적 많은 양의 플루오로카본 (fluorocarbon) 기재의 중합체 잔류물 (310) 이 챔버 표면 상에 증착된다. 다른 실리콘 함유층을 에칭하기 위한 이후의 단계들 동안에, 예를 들어 금속 실리사이드 에칭 단계 (302), 폴리실리콘 메인 에칭 단계 (303), 및 폴리실리콘 오버-에칭 단계 (304) 동안에, 이산화실리콘 (320) 의 층은 플루오로카본 기재 중합체 증착물 (310) 위에 증착된다. 이러한 이산화실리콘 (320) 의 층 (100 - 200 A) 은 세정 가스가 아래 있는 중합체 잔류물 (310) 에 도달하거나 중합체 잔류물과 화학적으로 작용하는 것을 방지한다. 그 결과, 다단계 에칭 공정은 종래 세정 공정으로는 제거하기 어려운 다층 잔류물을 생성한다. 또한, 샌드위치형 다층 증착물은 에칭 공정 동안에 박리되어 기판 상에 오염물질을 증착시키는 경향이 있는데, 이는 특히 각 층의 열팽창 계수가 종종 서로 크게 다를 수 있기 때문이다. 종래의 공정에서, 챔버의 내부 표면 상에 형성된 증착물은 통상 약 10 내지 약 10 microns 의 전체 두께를 가졌다.
또한, 다단계 에칭 및 세정 공정은 챔버 (30) 의 내부 표면으로부터 다층 잔류물을 제거하는 것에 더욱 효과적이라는 것이 발견되었다. 다단계 세정 공정은 다수의 세정 단계를 구비하는 것으로, 각 세정 단계는 잔류물의 층들중의 하나의 층을 제거하기에 적합하도록 되어 있다. 예를 들어, 잔류물이 이산화실리콘을 주로 구비하는 층 등의 실리콘 함유 재료의 제 1 층을 구비하면, 제 1 세정 공정 가스는 주로 실리콘 또는 이산화실리콘 제거 가스, 또는 실리콘 함유층의 형성을 억제하는 가스를 구비한다. 그 후에, 플루오로카본 중합체 잔류물의 층 등의 잔류물의 제 2 층은 주로 플루오로카본 중합체 제거 종들을 구비하는 제 2 세정 가스를 사용하여 제거된다. 이 2 단계 세정 공정은 단일 단계의 세정 공정보다 챔버의 깨끗한 내부 표면을 제공하는 것에 더욱 더 효과적이다.
여기 제공된 예에서, 산소 함유 세정 가스를 사용하는 제 2 세정 단계뿐만 아니라 질화물 마스크층의 에칭, 텅스텐 실리사이드층의 에칭 및 제 1 세정/잔류물 억제 단계, 및 폴리실리콘층을 에칭하기 위한 메인 (main) 및 오버에치 (overetch) 공정 단계에 대한 공정 조건들이 표 2 에 나타나 있다.
공정 단계 압력(mTorr) 소스 전력(Watts) 바이어스 전력(Watts) 공정 가스 조성(sccm)
Si3N4마스크 에칭 4 950 300 45 CF4/130 He/20 CH2F2
WSix(세정) 4 600 60 80 Cl2/80 CF4/40 N2
폴리실리콘 ME 4 270 40 20 Cl2/90 HBr/4 He-O2
폴리실리콘 OE 50 500 80 50 HBr/3 He-O2
O2세정 15 500 95 O2
위에 있는 포토레지스트층을 이용하여 먼저 질화실리콘층이 에칭되어 패터닝된 하드 마스크층을 형성한다. 본 발명을 한정하고자 하는 것이 아니라 예를들어 설명해서, 전형적인 공정은 45 sccm 의 CF4, 130 sccm 의 He, 및 20 sccm 의 CH2F2의 플라즈마를 이용하여 이 마스크층, 예를 들어 질화물 함유 마스크층을 4 mTorr 의 압력에서 950 Watts 의 소스 전력 및 300 Watts 의 바이어스 전력으로써 에칭한다.
그 후, 에칭 가스 및 제 1 세정 가스의 조합을 구비하는 에너자이징된 가스가 금속 실리사이드층 등의 기판 상의 층을 에칭하고 챔버 표면 상의 이산화실리콘 함유 에천트 잔류물의 증착을 억제하기 위해 사용되었다. 질화 실리콘을 구비하는 마스크층이 에칭되는 이전의 에칭 단계에 의해 형성된 중합체 증착물 상으로 이산화실리콘의 증착을 억제하도록 선택된 체적 유량비로 세정 가스에 에천트 가스가 더해진다. 이후의 에칭 단계 동안에 증착된 이산화실리콘 잔류물은 충분히 얇아서 이후의 세정 단계가 잔존하는 이산화 실리콘 함유 물질 및 잔존하는 잔류물의 플루오로카본 물질 양자 모두를 제거할 수 있도록 한다는 것이 발견되었다. 이산화실리콘 함유 잔류물의 형성이 억제되는 실리콘 함유층 에칭 공정 단계와 이후의 제 2 세정 단계의 이러한 조합은 실질적으로 모든 잔류물이, 어떤 경우에는 모든 잔류물이 에칭 공정 완성 후에 제거되는 예상치 않게 깨끗한 챔버를 가져온다.
금속 실리사이드층은 Cl2, N2, O2, HBr, 및 He-O2중의 하나 이상을 구비한, 그리고 더 바람직하게는 Cl2및 N2를 구비한 에칭 가스로 에칭될 수 있다. 세정 가스는 CF4, SF6, 및 NF3중의 하나 이상을 구비할 수 있고, 더 바람직하게는 CF4를 구비할 수 있다. 에칭 가스 대 세정 가스의 체적 유량비는, 층 내에서 원하는 형태를 적절히 에칭하고 마스크 에치로부터 생긴 잔류물의 증착물 (310) 상으로 이산화실리콘 (320) 이 증착되는 것을 억제하도록 선택된다. 에칭 가스 대 세정 가스의 체적 유량비는 약 1:1 내지 약 1:20 이고, 더 바람직하게는 약 3:2 이다. 특정 조성에서, 공정 가스는 80 sccm 의 Cl2, 80 sccmm 의 CF4, 및 40 sccm 의 N2를 구비한다. 소스 전력은 약 200 내지 약 800 Watts 이고, 더 바람직하게는 약 600 Watts 이다. 바이어스 전력은 약 20 Watts 내지 약 120 Watts 이고, 더 바람직하게는 약 60 Watts 이다. 챔버 내의 압력은 약 1 mTorr 내지 약 50 mTorr 이고 더 바람직하게는 약 4 mTorr 이다.
그 후에, 폴리실리콘층은 메인 에칭 단계 및 오버에칭 단계를 포함하는 2 단계에서 에칭된다. 메인 에칭 단계는, 20 sccm 의 Cl2, 90 sccm 의 HBr, 및 4 sccm 의 He-O2를 구비하는 공정 가스의 플라즈마를 사용하여 4 mTorr 의 압력에서 270 Watts 의 소스 전력 및 40 Watts 의 바이어스 전력으로 실행된다. 오버 에치는 50 sccm 의 HBr 및 3 sccm 의 He-O2그리고 선택적으로 Cl2를 구비한 공정 가스를 사용하여 50 mTorr 의 압력 및 500 Watts 의 소스 전력에서 유지되는 챔버 내에서 실행된다.
본 예에서, 산소 함유 플라즈마가 챔버의 벽 및 표면 상에 잔존하는 이산화실리콘 함유 및 플루오로카본 기반의 중합체 잔류물 (310) 을 제거하는 데에 사용될 수 있다는 것이 더 발견되었다. 산소 플라즈마 세정 단계는 폴리실리콘 오버-에칭 단계 등의 에칭 단계 또는 기판 상의 마지막 잔류물을 생성하는 층을 처리하는 다른 단계 후에 실행된다. 이 단계에서 산소 종 생성 가스, 산소 또는 오존 등의 산소 함유 가스는 챔버 내로 도입되고 500 Watts 의 전력 레벨에서 전류를 인덕터 코일에 인가함으로써 플라즈마가 유지된다. 산소 흐름은 약 95 sccm 에서 15 mTorr 의 가스 압력으로 유지된다. 산소 플라즈마는 비교적 얇은 층의 이산화실리콘 잔류물을 포함하여 챔버 상의 잔존하는 실질적으로 모든 잔류물을 제거한다. 아래 있는 중합체 증착물 (310) 이 위에 있는 두꺼운 이산화실리콘 잔류물 (320) 에 의해 피복되고 그 이산화실리콘 잔류물에 의해 세정가스로부터 보호된다면, 이 아래 있는 중합체 증착물의 제거는 더욱 더 어렵다. 또한, 산소 플라즈마는 챔버 내의 잔류 전하 (residual charge) 를 제거하는 기능을 수행하여, 반대 전하 예를 들어 음전하를 제공함으로써 기판이 챔버 내에서 전극 상에 지지되어 있을 때 기판을 디처킹한다.
또한, 챔버의 벽 및 표면으로부터 잔류물을 제거하는 데 사용되는 세정 가스는 플루오로카본 중합체 잔류물 (310) 및 이산화실리콘 함유 잔류물 (320) 의 조합을 제거하는 다른 가스 조성을 구비할 수 있다. 예를 들어, 세정 가스는 또한 약 1 mTorr 내지 약 100 mTorr 의 압력에서 약 200 Watts 내지 약 1000 Watts 의 소스 전력으로 유지되는 플라즈마 내에서 산소를 구비할 수 있다. 또다른 예에서, 공정 가스는 15 mTorr 의 압력에서 95 sccm 의 O2를 구비하고 플라즈마는 500 Watts 의 소스 전력 레벨에서 형성된다.
도 5 는 질화물 마스크의 에칭 (351), 금속 실리사이드 에치 (352), 폴리실리콘층 메인 에치 (353), 폴리실리콘 오버 에치 (354), 및 산소 가스 세정 단계 동안에 본 발명의 방법에 의해 챔버 벽 상에 증착된 에천트 잔류물의 조성 프로파일을 나타내는 개략적인 그래프이다. 도 5 에서의 데이타는 도 4 에서의 데이타에 대해 사용된 것과 동일한 형태의 기판을 사용하여 얻었다. 도 4 와 5 를 비교하면, 본 공정은 기판 처리 후에 챔버 표면 상에 미리 형성된 600 - 700 A 두께의 잔류물을 제거함으로써 이전 공정에 대해 상당히 개선된 것임에 분명하다. 마스크층 에칭 단계 (351) 가 챔버 내의 표면 상에 증착하는 플루오로카본 기재의 중합체 잔류물 (310) 을 계속해서 형성하는 반면에, 금속 실리사이드 에칭 단계 (352) 는 중합체 잔류물 (310) 위에 이산화실리콘 (320) 을 거의 증착시키지 않거나 이산화실리콘을 전혀 증착시키지 않는 것으로 발견되었다. 이후의 단계들 (353, 354) 은 종래 공정보다 더욱 더 얇은 이산화 실리콘층 (320) 을 증착시킨다. 이렇게 더 얇은 층의 이산화실리콘 (320) 은 세정 가스가 중합체 증착물 (310) 과 작용하여 챔버를 세정할 수 있도록 한다. 기판 처리가 완료되었을 때, 실질적으로 깨끗한 챔버가 이후의 사용을 위해 이용될 수 있고, 내부 표면을 수동으로 더 세정할 필요없이 자주 재사용할 수 있다.
도 5 를 참조하면, 부동화층 (passivating layer) 증착의 대부분은 기판 상의 질화실리콘 마스크층의 에칭 동안에 발생하였다. 이것은 질화실리콘층을 통하여 구멍을 에칭하는 것에 더하여 위에 있는 레지스트층이 실질적으로 기판의 표면으로부터 에칭되어 실리콘 및 질소 종들을 주위 환경에 기여하게 되기 때문이다. 레지스트, 실리콘, 및 질소 에칭의 조합은 챔버 내의 표면 상에 중합체 증착을 형성한다. 그 후, 챔버가 깨끗하게 유지되도록 세정 가스가 추가되는 WSix에칭 단계에서, 플루오르화 CF4가스에 의한 부동화층의 제거로 인하여 부동화층의 증착 두께의 순 감소가 발생한다. 폴리실리콘층을 에칭하기 위한 메인 및 오버에칭 단계 동안에, 증착층의 두께는 실질적으로 일정하게 되나, 폴리실리콘 오버에칭 단계의 말미로 가면, 순 두께 (net thickness) 의 이산화실리콘이 증착되어진다. 작은 양의 이산화실리콘이 증착되어 샌드위치된 층을 형성하나, 이러한 양은 종래 기술의 공정에서 증착되는 양보다 약 2 를 넘는 인수만큼 훨씬 더 작다.
다단계 세정 공정의 유효성을 더 분석하여 이해하도록 제공된 또다른 예에서, 도 6 은 노출된 실리콘 웨이퍼의 표면 상에 형성된 잔류물층의 증착 및 제거 속도 양자 모두를 나타낸다. 350 Watts 의 바이어스 전력과 65 ℃ 의 음극 온도에서 45 sccm 의 CF4, 130 sccm 의 He, 20 sccm 의 CH2F2를 구비한 공정 가스에 기판을 노출시킴으로써 이 잔류물층이 형성되었다. 이 잔류물 증착은 비교적 일정하며 약 75 내지 125 Å/min 이다. 노출된 실리콘 웨이퍼 상에 증착된 중합체 잔류물은 이후에 다음의 공정 조건, 즉, 95 sccm 의 산소 유량, 15 mTorr 의 가스 압력, 500 Watts 의 소스 전력, 및 65 ℃ 의 음극 온도에 의해 바이어스 전력은 없는 상태에서 제거되었다. 이 그래프에서 나타난 바와 같이 제거 속도는 350 Å/min 정도로 더욱 더 높다. 잔류물의 증착 속도와 비교할 때, 산소 함유 세정 가스의 더욱 더 높은 효율성은, 본 공정에 의해 그리고 기판 처리 장치의 작업 중지 시간 (down-time) 의 과도한 감소 없이, 잔류물이 빠르고 효율적으로 제거될 수 있다는 것을 나타낸다.
도 7 에서, 다른 조성 및 두께를 갖는 층들을 구비한 다층 잔류물의 제거 속도가 나타나 있다. 이러한 예들에서, 조성적으로 다른 층을 구비한 하나의 잔류물은 하나의 시료 (specimen) 상에 형성되었다. 시료 1 상의 잔류물은 이 견본 위에 이산화실리콘이 없이 단지 중합체로만 이루어졌다. 시료 2 상의 잔류물은 중합체 잔류물의 층 및 그 위에 있는 130 Å 의 이산화실리콘 함유 잔류물층을 구비하였다. 시료 3 은 중합체 잔류물층 상에 385 Å 두께의 산화실리콘을 가졌다. 마지막으로, 시료 4 는 산화실리콘 증착을 갖지 않고 단지 40 Å 두께의 중합체 잔류물층만을 가졌다. 중합체 잔류물만을 갖는 시료 1 및 4 는 이산화실리콘을 함유한 잔류물, 즉, 시료 2 및 3 에 비해서 350 Å 내지 400 Å 의 훨씬 더 높은 속도로 제거되었다. 실제로, 시료 2 및 3 상에 증착된 잔류물의 제거 속도는 50 내지 약 350 Å 의 범위이었다. 따라서, 산화실리콘층의 제거 (견본 1 및 4) 는 중합체 잔류물 약 6 내지 8 배만큼 중합체 잔류물의 제거 속도를 증가시키는데, 이러한 속도는 예상치 않게 상당히 더 높은 제거 속도이다. 또한, (약 385 Å 에서 약 130 Å 으로) 중합체 잔류물 상에 형성된 이산화실리콘 함유 잔류물의 두께를 감소시키는 것은 약 100 Å/min 에서 200 Å/min 으로, 또는 2배만큼 빠르게 잔류물 제거의 평균 속도의 순 변화를 초해하였다. 이것은 전혀 예상치 못한 잔류물 제거 속도에서의 상당한 개선점을 제공한 것이다.
또다른 예에서, 도 8 은 인덕터 코일에 인가된 전력 레벨을 증가시키는 것에 따른 잔류물 제거의 속도를 나타낸다. 이 예에서, 공정 조건은 95 sccm 의 산소 유량, 15 mTorr 의 압력, 가변적인 양의 소스 전력, 0 Watts 의 바이어스 전력, 및 65 ℃ 의 음극 벽 온도를 포함하였다. 소스 전력이 400 Watts 에서 약 750 내지 800 Watts 로 증가함에 따라, 잔류물을 제거하는 속도는 약 320 Å/minute 으로 일정하다. 그러나, 약 800 내지 약 900 Watts 의 소스 전력 레벨에서는, 잔류물 제거의 속도는 상당히 개선 되어 350 Å 에서 1200 Å/minutes 로 증가한다. 따라서, 본 발명의 또다른 양태에서, 소스 전력 레벨은 높은 레벨로 유지되어야 한다는 것을 또한 발견하였다. 선택된 소스 전력 레벨은 공정 가스의 조성, 가스 압력에 의존하며, 통상 약 700 Watts 이상이다. 약 600 Å/minutes 를 넘는 잔류물 제거 속도는 이러한 전력 레벨에서 얻어졌다.
에칭 공정 동안에 챔버 표면 상으로 증착되는 에천트 잔류물의 양을 결정하는 데에 석영 결정 미량천칭 (quartz crystal microbalance; QCM) 이 사용되었다. 석영 결정 미량천칭은, 참고로 미국 특허출원 제 09/116,621 에 개시되어 있는 바와 같이, 에천트 잔류물의 막이 위에 증착될 때 커패시턴스가 변화하는 압전판 (piezoelectric plate) 을 구비한다. 여기서 설명하는 측정을 실행할 때, 미량천칭은 공정 챔버의 내부 표면 상에 탑재되었고, 그 리드선은 공정 챔버 밖에 있는 컴퓨터에 접속되었다.
본 발명은 특정 실시예를 참고하여 설명되었으나, 다른 실시예도 가능하다. 예를 들어, 본 발명의 처리 및 세정 공정은 다른 용도의 챔버를 처리하는 데에 사용될 수 있으며, 이는 당업자에게 분명하다. 예를 들어, 본 공정은 스퍼터링 챔버, 이온 주입 챔버, 또는 증착 챔버를 처리하거나 또는 다른 세정 공정들과 결합하여 처리하는데에 적용될 수 있다. 따라서, 첨부된 청구범위의 정신 및 범위는 여기 포함된 실시예들에 대한 설명에 한정되지 않는다.

Claims (45)

  1. 챔버 내의 표면으로부터 잔류물을 제거하는 챔버 처리 방법에 있어서,
    (a) 에너자이징된 제 1 공정 가스를 상기 챔버 내에 제공하여 상기 챔버 내의 표면을 처리하는 단계; 및
    (b) 에너자이징된 제 2 공정 가스를 상기 챔버 내에 제공하여 상기 챔버 내의 표면을 더 처리하는 단계를 구비하고, 상기 제 2 공정 가스는 상기 제 1 공정 가스와 다른 것을 특징으로 하는 챔버 처리 방법.
  2. 제 1 항에 있어서,
    상기 제 1 공정 가스는 플루오르화 가스를 포함하는 것을 특징으로 하는 챔버 처리 방법.
  3. 제 2 항에 있어서,
    상기 플루오르화 가스는 CF4, SF6및 NF3중의 하나 이상을 포함하는 것을 특징으로 하는 챔버 처리 방법.
  4. 제 1 항에 있어서,
    상기 제 2 공정 가스는 산소 함유 가스를 포함하는 것을 특징으로 하는 챔버처리 방법.
  5. 제 4 항에 있어서,
    상기 산소 함유 가스는 산소로 필수적으로 구성된 것을 특징으로 하는 챔버 처리 방법.
  6. 제 1 항에 있어서,
    상기 챔버의 상기 처리는 상기 챔버를 세정하는 단계를 구비하는 것을 특징으로 하는 챔버 처리 방법.
  7. 챔버 내의 기판을 에칭하고 상기 챔버 내의 표면 상에 형성된 에천트 잔류물을 세정하는 방법에 있어서,
    (a) 상기 기판을 상기 챔버 내에 위치시키는 단계;
    (b) 제 1 단계에서, 기판 에칭 가스 및 제 1 세정 가스를 구비하는 에너자이징된 제 1 공정 가스를 상기 챔버 내에 제공하는 단계; 및
    (c) 제 2 단계에서, 상기 제 1 세정 가스와 다른 제 2 세정 가스를 구비하는 에너자이징된 제 2 공정 가스를 상기 챔버 내에 제공하는 단계를 구비하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  8. 제 7 항에 있어서,
    상기 제 1 세정 가스는 플루오르화 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  9. 제 8 항에 있어서,
    상기 플루오르화 가스는 CF4, SF6및 NF3중의 하나 이상을 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  10. 제 7 항에 있어서,
    상기 제 2 세정 가스는 산소 함유 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  11. 제 10 항에 있어서,
    상기 산소 함유 가스는 산소로 필수적으로 구성된 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  12. 제 7 항에 있어서,
    상기 기판 에칭 가스는 상기 기판 상의 금속 실리사이드층을 에칭할 수 있는 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  13. 제 7 항에 있어서,
    상기 기판 에칭 가스는 Cl2, N2, O2, HBr 및 He-O2중의 하나 이상을 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  14. 제 7 항에 있어서,
    기판 에칭 가스 대 제 1 세정 가스의 체적 유량비는 약 1:1 내지 약 20:1 인 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  15. 제 7 항에 있어서,
    상기 에너자이징된 제 2 공정 가스는 상기 기판이 상기 챔버 내에 있는 동안에 상기 챔버 내에 제공되는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  16. 제 7 항에 있어서,
    단계 (a) 에서, 상기 기판은 상기 챔버 내에서 정전기 척 상에 정전기적으로 지지되고, 단계 (c) 에서 제 2 공정 가스의 전기적으로 음성인 플라즈마를 제공하는 단계를 구비하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  17. 제 7 항에 있어서,
    상기 제 2 단계에서, 상기 챔버 압력은 약 1 mTorr 내지 약 10 mTorr 에서 유지되는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  18. 제 7 항에 있어서,
    상기 제 2 단계에서, 소스 전력 대 바이어스 전력의 비는 약 5:3 내지 약 40:1 인 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  19. 챔버 내의 기판을 에칭하고 상기 챔버 내의 표면으로부터 에천트 잔류물을 세정하는 방법에 있어서,
    (a) 상기 챔버를 상기 챔버 내에 위치시키는 단계;
    (b) 상기 챔버 상의 제 1 재료를 에칭하여 상기 챔버 내의 표면 상에 제 1 에천트 잔류물을 증착시키는 단계;
    (c) 상기 제 1 에천트 잔류물 상으로 상기 제 1 에천트 잔류물과 조성이 다른 제 2 에천트 잔류물이 증착되는 것을 억제하면서, 상기 기판 상에 제 2 재료를 에칭하는 단계; 및
    (d) 상기 챔버 내의 표면 상에 형성된 상기 제 1 및 제 2 에천트 잔류물의 증착물을 세정하는 단계를 구비하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  20. 제 19 항에 있어서,
    상기 제 1 재료를 에칭하는 상기 단계는 에너자이징된 제 1 가스를 상기 챔버 내에 제공하는 단계를 구비하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  21. 제 20 항에 있어서,
    상기 제 2 재료를 에칭하는 상기 단계는 에너자이징된 제 2 가스를 상기 챔버 내에 제공하는 단계를 구비하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  22. 제 21 항에 있어서,
    상기 제 1 가스는 제 1 세정 가스를 포함하고 상기 제 2 가스는 제 2 세정 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  23. 제 22 항에 있어서,
    상기 제 1 세정 가스는 플루오르화 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  24. 제 23 항에 있어서,
    상기 플루오르화 가스는 CF4, SF6및 NF3중의 하나 이상을 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  25. 제 22 항에 있어서,
    상기 제 2 세정 가스는 산소 함유 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  26. 제 25 항에 있어서,
    상기 산소 함유 가스는 산소로 필수적으로 구성된 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  27. 챔버 내의 기판을 에칭하고 상기 챔버 내의 표면으로부터 에천트 잔류물을 세정하는 방법에 있어서,
    (a) 상기 챔버 내에서 상기 기판을 정전기적으로 지지하는 단계;
    (b) 상기 기판을 에칭하기 위한 에칭 가스 및 잔류물 세정 가스를 포함하는 제 1 에너자이징된 가스를 상기 챔버 내에 제공하는 단계; 및
    (c) 제 2 에너자이징된 가스를 상기 챔버 내에 제공하여 상기 챔버 내의 표면 상에 증착된 에천트 잔류물을 세정하고 동시에 상기 기판 내에 축적된 잔류 전하를 제거하는 단계를 구비하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  28. 제 27 항에 있어서,
    상기 제 1 에너자이징된 가스는 플루오르화 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  29. 제 28 항에 있어서,
    상기 플루오르화 가스는 CF4, SF6및 NF3중의 하나 이상을 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  30. 제 27 항에 있어서,
    상기 제 2 에너자이징된 가스는 산소 함유 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  31. 제 30 항에 있어서,
    상기 산소 함유 가스는 산소로 필수적으로 구성된 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  32. 제 27 항에 있어서,
    상기 에칭 가스는 상기 기판 상의 금속 실리사이드층을 에칭할 수 있는 가스를 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 잔류물 세정 방법.
  33. 제 27 항에 있어서,
    상기 에칭 가스는 Cl2, N2, O2, HBr 및 He-O2중의 하나 이상을 포함하는 것을 특징으로 하는 기판 에칭 및 에천트 세정 방법.
  34. 제 27 항에 있어서,
    에칭 가스 대 잔류물 세정 가스의 체적 유량비는 약 1:1 내지 약 20:1 인 것을 특징으로 하는 기판 에칭 및 에천트 세정 방법.
  35. 챔버 내의 기판을 에칭하고 상기 챔버 내의 표면 상에 형성된 잔류물을 세정하는 방법에 있어서,
    (a) 상기 기판을 상기 챔버 내에 위치시키는 단계;
    (b) 에칭 단계에서, 에너자이징된 가스를 사용하여 상기 기판 상의 하나 이상의 재료를 에칭하는 단계로서, 상기 에너자이징된 가스의 하나 이상의 조성은 Cl2, N2, O2, HBr 및 He-O2중의 하나 이상을 포함하는 에칭 가스, 및 CF4, SF6및 NF3중의 하나 이상을 포함하는 잔류물 세정 가스를 포함하는 단계; 및
    (c) 산소를 포함하는 또다른 에너자이징된 가스를 사용하여 상기 챔버 내의 표면 상에 형성된 잔류물을 세정하는 단계를 구비하는 것을 특징으로 하는 기판 에칭 및 잔류물 세정 방법.
  36. 제 35 항에 있어서,
    에칭 가스 대 잔류물 세정 가스의 체적 유량비는 약 1:1 내지 약 20:1 인 것을 특징으로 하는 기판 에칭 및 잔류물 세정 방법.
  37. 제 35 항에 있어서,
    산소를 포함하는 상기 에너자이징된 가스는 상기 기판이 상기 챔버 내에 있는 동안에 상기 챔버 내에 제공되는 것을 특징으로 하는 기판 에칭 및 잔류물 세정 방법.
  38. 제 35 항에 있어서,
    단계 (a) 에서, 상기 기판은 상기 챔버 내에서 정전기 척 상에 정전기적으로 지지되고, 단계 (c) 에서, 산소를 구비하는 상기 에너자이징된 가스는 상기 정전기 척으로부터 상기 기판을 디처킹하는 것을 돕는 플라즈마를 포함하는 것을 특징으로 하는 기판 에칭 및 잔류물 세정 방법.
  39. 챔버 내의 기판을 에칭하고 상기 챔버 내의 표면 상에 형성된 잔류물을 세정하는 방법에 있어서,
    상기 챔버는 정전기 척을 구비하고,
    (a) 마스크층을 구비하는 기판을 상기 챔버 내로 이송시키고 상기 기판을 상기 정전기 척 상에 정전기적으로 지지하는 단계;
    (b) 에너자이징된 가스를 상기 챔버 내에 제공하여 상기 기판 상의 상기 마스크층을 에칭함으로써 상기 기판 내의 표면 상에 상기 마스크층으로부터 생긴 화학종들을 구비하는 잔류물을 형성하는 단계;
    (c) 또다른 에너자이징된 가스를 상기 챔버 내에 제공하여 상기 마스크층 아래의 재료를 에칭하는 단계로서, 상기 또다른 에너자이징된 가스는 Cl2, N2, O2, HBr, 및 He-O2중의 하나 이상을 구비하는 에칭 가스, 및 CF4, SF6, 및 NF3중의 하나 이상을 구비하는 잔류물 세정 가스를 구비하는, 단계; 및
    (d) 산소 함유 플라즈마를 상기 챔버 내에 제공하여 상기 기판을 디처킹하는 것을 돕고 상기 챔버 내의 표면 상에 형성된 잔류물을 세정하는 단계를 구비하는 것을 특징으로 하는 기판 에칭 및 잔류물 세정 방법.
  40. 제 39 항에 있어서,
    에칭 가스 대 잔류물 세정 가스의 체적 유량비는 약 1:1 내지 약 20:1 인 것을 특징으로 하는 기판 에칭 및 잔류물 세정 방법.
  41. 기판을 세정하여 상기 챔버 내의 표면으로부터 잔류물을 제거하는 방법에 있어서,
    (a) 에너자이징된 제 1 공정 가스를 상기 챔버 내에 제공하여 상기 챔버 내의 표면을 세정하는 단계; 및
    (b) 상기 챔버 소스 전력을 조절하여 상기 표면으로부터 제거되는 잔류물의 양을 제어하는 단계를 구비하는 것을 특징으로 하는 기판 세정 방법.
  42. 제 41 항에 있어서,
    단계 (b) 는 상기 챔버 소스 전력을 증가시켜 상기 표면으로부터 제거되는 잔류물의 양을 증가시키는 단계를 구비하는 것을 특징으로 하는 기판 세정 방법.
  43. 제 41 항에 있어서,
    챔버 바이어스 전력을 실질적으로 0 Watts 에 유지시키는 단계를 더 포함하는 것을 특징으로 하는 기판 세정 방법.
  44. 제 41 항에 있어서,
    상기 제 1 공정 가스는 산소 함유 가스를 구비하는 것을 특징으로 하는 기판 세정 방법.
  45. 제 43 항에 있어서,
    상기 산소 함유 가스는 산소로 필수적으로 구성된 것을 특징으로 하는 기판 세정 방법.
KR1020027001134A 1999-07-27 2000-07-26 플라즈마 에칭 챔버에 대한 다단계 세정 KR100738850B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/362,924 US6872322B1 (en) 1997-11-12 1999-07-27 Multiple stage process for cleaning process chambers
US09/362,924 1999-07-27

Publications (2)

Publication Number Publication Date
KR20020070255A true KR20020070255A (ko) 2002-09-05
KR100738850B1 KR100738850B1 (ko) 2007-07-12

Family

ID=23428074

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027001134A KR100738850B1 (ko) 1999-07-27 2000-07-26 플라즈마 에칭 챔버에 대한 다단계 세정

Country Status (5)

Country Link
US (1) US6872322B1 (ko)
EP (1) EP1198829A1 (ko)
KR (1) KR100738850B1 (ko)
TW (1) TW475201B (ko)
WO (1) WO2001008209A1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100508749B1 (ko) * 1998-06-01 2005-11-21 삼성전자주식회사 반도체장치 제조용 식각설비 및 이를 이용한 식각방법
KR100653217B1 (ko) * 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
KR100699678B1 (ko) * 2005-12-28 2007-03-23 동부일렉트로닉스 주식회사 하드 마스크를 이용한 패턴 형성 방법
KR100719801B1 (ko) * 2005-09-05 2007-05-18 주식회사 아이피에스 반도체 장비의 내식성 강화방법
US7485580B2 (en) 2005-09-20 2009-02-03 Air Products And Chemicals, Inc. Method for removing organic electroluminescent residues from a substrate
KR101445153B1 (ko) * 2008-06-26 2014-09-29 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
KR100881045B1 (ko) * 2001-05-04 2009-01-30 램 리써치 코포레이션 챔버 내 잔여물의 2단계 플라즈마 세정
US6946408B2 (en) * 2001-10-24 2005-09-20 Applied Materials, Inc. Method and apparatus for depositing dielectric films
JP2003234299A (ja) * 2002-02-12 2003-08-22 Research Institute Of Innovative Technology For The Earth クリーニングガス及びエッチングガス
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP4131813B2 (ja) * 2002-10-24 2008-08-13 株式会社半導体エネルギー研究所 プラズマエッチング方法及び半導体装置の作製方法
KR101155841B1 (ko) * 2003-03-03 2012-06-20 램 리써치 코포레이션 이중 도핑된 게이트 애플리케이션에서 프로파일 제어 및n/p 로딩을 개선하는 방법
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US20040261815A1 (en) * 2003-06-27 2004-12-30 Texas Instruments, Incorporated Three-step chamber cleaning process for deposition tools
US7192874B2 (en) * 2003-07-15 2007-03-20 International Business Machines Corporation Method for reducing foreign material concentrations in etch chambers
US7479454B2 (en) * 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US20050066994A1 (en) * 2003-09-30 2005-03-31 Biles Peter John Methods for cleaning processing chambers
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
DE10358025A1 (de) * 2003-12-11 2005-07-21 Infineon Technologies Ag Verfahren zum Ätzen von Wolfram mit einer kontrollierten Seitenwandpassivierung und mit hoher Selektivität zu Polysilizium
US7713431B2 (en) * 2004-06-10 2010-05-11 Tokyo Electron Limited Plasma processing method
US7167091B2 (en) * 2004-07-16 2007-01-23 Safeview, Inc. Vehicle activated millimeter-wave interrogating
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
KR100562657B1 (ko) * 2004-12-29 2006-03-20 주식회사 하이닉스반도체 리세스게이트 및 그를 구비한 반도체장치의 제조 방법
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7871532B2 (en) * 2005-02-28 2011-01-18 Tokyo Electron Limited Plasma processing method and post-processing method
US7452823B2 (en) * 2005-03-08 2008-11-18 Tokyo Electron Limited Etching method and apparatus
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US7799237B2 (en) * 2006-05-25 2010-09-21 Sony Corporation Method and apparatus for etching a structure in a plasma chamber
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
JP4159584B2 (ja) * 2006-06-20 2008-10-01 エルピーダメモリ株式会社 半導体装置の製造方法
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US7550090B2 (en) * 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
EP1998389B1 (en) * 2007-05-31 2018-01-31 Applied Materials, Inc. Method of cleaning a patterning device, method of depositing a layer system on a substrate, system for cleaning a patterning device, and coating system for depositing a layer system on a substrate
US20090211596A1 (en) * 2007-07-11 2009-08-27 Lam Research Corporation Method of post etch polymer residue removal
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8293649B2 (en) * 2009-12-18 2012-10-23 Global Unichip Corp. Release accumulative charges on wafers using O2 neutralization
US8084289B2 (en) * 2010-02-26 2011-12-27 United Microelectronics Corp. Method of fabricating image sensor and reworking method thereof
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CN102194836B (zh) * 2010-03-16 2016-03-16 联华电子股份有限公司 图像感测元件的制造方法及其重新制作方法
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
TWI488234B (zh) * 2010-06-29 2015-06-11 Global Unichip Corp 利用氧氣中和釋放晶圓上堆積之電荷
US8906248B2 (en) * 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch
US10069443B2 (en) * 2011-12-20 2018-09-04 Tokyo Electron Limited Dechuck control method and plasma processing apparatus
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US10163656B2 (en) * 2013-11-16 2018-12-25 Applied Materials, Inc. Methods for dry etching cobalt metal using fluorine radicals
JP6234271B2 (ja) * 2014-02-25 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
JP6374781B2 (ja) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 プラズマ処理方法
US10535505B2 (en) 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
CN107359113B (zh) * 2017-07-28 2021-04-13 武汉光谷量子技术有限公司 一种使用RIE设备刻蚀InP材料的方法及刻蚀InP材料
NL2022780A (en) * 2018-04-12 2019-10-22 Asml Netherlands Bv Apparatus and method
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
US11521838B2 (en) * 2018-12-18 2022-12-06 Applied Materials, Inc. Integrated cleaning process for substrate etching
CN113846312A (zh) * 2021-08-30 2021-12-28 北京北方华创微电子装备有限公司 一种降低半导体设备工艺腔室内金属污染的方法

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5782955A (en) 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
CA1204525A (en) 1982-11-29 1986-05-13 Tetsu Fukano Method for forming an isolation region for electrically isolating elements
AU544534B2 (en) 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4490209B2 (en) 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
JPH0824114B2 (ja) 1984-11-09 1996-03-06 株式会社日立製作所 プラズマエッチング方法
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US4779991A (en) 1986-01-16 1988-10-25 Wako Pure Chemical Industries Ltd. Bottle for mixing and method for mixing with the said bottle
JPH0740566B2 (ja) 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4863561A (en) 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
JPH0793291B2 (ja) 1986-12-19 1995-10-09 アプライド マテリアルズインコーポレーテッド シリコンおよび珪化物のための臭素およびヨウ素エッチング方法
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPS6450427A (en) 1987-08-21 1989-02-27 Hitachi Ltd Plasma processing
US4876212A (en) 1987-10-01 1989-10-24 Motorola Inc. Process for fabricating complimentary semiconductor devices having pedestal structures
US4799991A (en) 1987-11-02 1989-01-24 Motorola, Inc. Process for preferentially etching polycrystalline silicon
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US5035768A (en) 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US4992134A (en) 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
EP0439101B1 (en) * 1990-01-22 1997-05-21 Sony Corporation Dry etching method
US5110411A (en) 1990-04-27 1992-05-05 Micron Technology, Inc. Method of isotropically dry etching a poly/WSix sandwich structure
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
EP0463373A3 (en) 1990-06-29 1992-03-25 Texas Instruments Incorporated Local interconnect using a material comprising tungsten
JP2964605B2 (ja) 1990-10-04 1999-10-18 ソニー株式会社 ドライエッチング方法
US5094712A (en) 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5160407A (en) 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5338398A (en) 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5431772A (en) 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
JP3210359B2 (ja) 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JP3253675B2 (ja) 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5358601A (en) 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
DE4132559A1 (de) 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
US5318668A (en) 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US5176792A (en) 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5192702A (en) 1991-12-23 1993-03-09 Industrial Technology Research Institute Self-aligned cylindrical stacked capacitor DRAM cell
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
DE4202158C1 (ko) 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5282899A (en) 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5188980A (en) 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
JP3334911B2 (ja) 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
US5256245A (en) 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
JP3227522B2 (ja) 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5413954A (en) 1992-11-10 1995-05-09 At&T Bell Laboratories Method of making a silicon-based device comprising surface plasma cleaning
JP3277394B2 (ja) 1992-12-04 2002-04-22 ソニー株式会社 半導体装置の製造方法
JP3259380B2 (ja) 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
EP0938134A3 (en) 1993-05-20 2000-01-19 Hitachi, Ltd. Plasma processing method
US5384009A (en) 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
JPH0729879A (ja) 1993-06-24 1995-01-31 Sony Corp 半導体装置の製造方法
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5354417A (en) 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5382316A (en) 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07147271A (ja) 1993-11-26 1995-06-06 Nec Corp 半導体装置の製造方法
US5741396A (en) 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
US5620615A (en) 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
JPH0864559A (ja) 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5521119A (en) 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5514622A (en) 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5529197A (en) 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JPH0982687A (ja) 1995-09-19 1997-03-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5644153A (en) 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5651856A (en) 1996-01-22 1997-07-29 Micron Technology, Inc. Selective etch process
US5874363A (en) 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US6159811A (en) * 1996-05-15 2000-12-12 Samsung Electronics Co., Ltd. Methods for patterning microelectronic structures using chlorine, oxygen, and fluorine
US5700741A (en) 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH1023387A (ja) 1996-07-04 1998-01-23 Ekushingu:Kk Catvセンタ装置
US5851926A (en) 1996-10-01 1998-12-22 Applied Materials, Inc Method for etching transistor gates using a hardmask
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5869401A (en) 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5891799A (en) * 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100508749B1 (ko) * 1998-06-01 2005-11-21 삼성전자주식회사 반도체장치 제조용 식각설비 및 이를 이용한 식각방법
KR100719801B1 (ko) * 2005-09-05 2007-05-18 주식회사 아이피에스 반도체 장비의 내식성 강화방법
US7485580B2 (en) 2005-09-20 2009-02-03 Air Products And Chemicals, Inc. Method for removing organic electroluminescent residues from a substrate
KR100699678B1 (ko) * 2005-12-28 2007-03-23 동부일렉트로닉스 주식회사 하드 마스크를 이용한 패턴 형성 방법
KR100653217B1 (ko) * 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
KR101445153B1 (ko) * 2008-06-26 2014-09-29 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치

Also Published As

Publication number Publication date
WO2001008209A1 (en) 2001-02-01
US6872322B1 (en) 2005-03-29
TW475201B (en) 2002-02-01
KR100738850B1 (ko) 2007-07-12
EP1198829A1 (en) 2002-04-24

Similar Documents

Publication Publication Date Title
KR100738850B1 (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
KR100530246B1 (ko) 자체 세정가능한 에칭 공정
US6527968B1 (en) Two-stage self-cleaning silicon etch process
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
US7575007B2 (en) Chamber recovery after opening barrier over copper
US5980768A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
TWI381440B (zh) 用以去除晶圓之斜邊與背側上之薄膜的設備及方法
KR101441720B1 (ko) 유전체 커버를 갖는 에지 전극
US6379574B1 (en) Integrated post-etch treatment for a dielectric etch process
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
WO2003075333A1 (en) Electrode for dry etching a wafer
KR20020027520A (ko) 에칭 공정용 측벽 폴리머 형성 가스 첨가제
KR20010053548A (ko) 도핑에 독립적인 폴리실리콘용 자기세정 에칭 프로세스
JPH0982687A (ja) 半導体装置の製造方法
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
KR100676206B1 (ko) 반도체 디바이스 제조설비에서의 프로세스 챔버 세정 방법
KR100563610B1 (ko) 반도체소자의제조방법
WO1998042020A1 (en) Method for etching a conductive layer
JP2011517368A (ja) 基板からポリマーを除去するための方法及び装置
US7425510B2 (en) Methods of cleaning processing chamber in semiconductor device fabrication equipment
US20050161435A1 (en) Method of plasma etching
JP3362093B2 (ja) エッチングダメージの除去方法
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee