JPH0383335A - エッチング方法 - Google Patents

エッチング方法

Info

Publication number
JPH0383335A
JPH0383335A JP1218530A JP21853089A JPH0383335A JP H0383335 A JPH0383335 A JP H0383335A JP 1218530 A JP1218530 A JP 1218530A JP 21853089 A JP21853089 A JP 21853089A JP H0383335 A JPH0383335 A JP H0383335A
Authority
JP
Japan
Prior art keywords
gas
etching
film
etching method
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP1218530A
Other languages
English (en)
Inventor
Takashi Fujii
敬 藤井
Hironori Kawahara
川原 博宣
Kazuo Takada
和男 高田
Masaharu Saikai
西海 正治
Noriaki Yamamoto
山本 則明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi Kasado Engineering Co Ltd
Original Assignee
Hitachi Ltd
Hitachi Kasado Engineering Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi Kasado Engineering Co Ltd filed Critical Hitachi Ltd
Priority to JP1218530A priority Critical patent/JPH0383335A/ja
Priority to EP91300793A priority patent/EP0497023A1/en
Priority to US07/658,254 priority patent/US5110408A/en
Publication of JPH0383335A publication Critical patent/JPH0383335A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明はエツチング方法に係り、特にゲート膜。
前記ゲート膜、シリコン基板等のエツチング処理に好適
なエツチング方法に関するものである。
る。
〔従来の技術〕
従来、ゲート膜のエツチング処理には、例えば特開昭6
2−154730号公報に記載のように、ポリシリコン
層、すなわち、ゲート膜のエツチングに、エツチング性
ガスとしてのSFGやNF3と堆積性ガスとしてのC,
CQ□F3 (商品名:フロン−113)やフロン−1
14(商品名)と化化合物処理ガスを用いるものがあっ
た。
また、シリコン基板のエツチング処理として。
特開昭63−299343号公報に記載のように。
エツチングガスとしてNF3もしくはSF、を用い、堆
積ガスとしてCHF□、 CH2F2、 CH,F。
C,H2Fz、C2HF6、C,H,F、C2H,F。
C,H4F、を用い、少なくともこれらの1つを含有す
るエツチングガスと堆積ガスとの混合ガスによってエツ
チングする方法があった。
〔発明が解決しようとする課題〕
上記従来技術のゲート膜のエツチング処理には、フロン
−113やフロン−114といった近年フロン規制を受
けるガスが使用されており、今後の処理にはこれらのガ
スを使用することができないという問題があり、これら
規制を受けるガス種以外のガスによるプロセスの開発が
急務となった。
また、上記従来技術の後者には、フロン規制を受けない
ガスによるシリコン基板のエツチング処理が示されてい
る。しかし、Siのトレンチエツチングにおけるシリコ
ン基板に与える損傷については考慮されていたが、特に
ゲート膜加工でのエツチング性能の向上及び堆積物の低
減等についての配慮はなされておらず、十分なエツチン
グ性能がでないという問題があった。
すなわち、第10図(a)ないしくd)゛に示すように
、従来の処理ガスでは、ゲート膜をエツチングすると、
エツチングの進行とともにゲートを構成する、例えば、
WSix膜4の側壁にサイドウオール膜が徐々に堆積し
て、堆積物6がマスクのようになり、エツチングされる
WSix膜4の幅が徐々に広がって、図(e)に示すよ
うにアッシングを行ってマスク5を除去したときのWS
ix膜4の形状はテーパ状となり、垂直なエツチングが
できないという問題があった。また、エツチングされる
底面においても、堆積物が発生してエツチング速度が向
上しないという問題があった。
本発明の第1の目的は、フロン規制を受けることなく、
エツチング性能を向上させることのできるエツチング方
法を提供することにある。
本発明の第2の目的は、精度良く異方性エツチングが行
えるエツチング方法を提供することにある。
〔課題を解決するための手段〕
上記第1の目的を達成するために、エツチング用処理ガ
スとして還元性フッ化化合物ガスとハイドロカーボン系
ガスと被エツチング材の原子径より大きいハロゲンガス
と化化合物ガスを用いてゲート膜または前記ゲート膜ま
たはシリコン基板をエツチング処理するようにしたもの
である。
る。
また、上記第1の目的を達成するために、エツチング用
処理ガスとして還元性フッ化化合物ガスとCQを含むハ
イドロカーボン系ガス化化合物ガスを用いてゲート膜ま
たは前記ゲート膜またはシリコン基板をエツチング処理
するようにしたものである。
さらに、上記第2の目的を達成するために、エツチング
性ガスにより被エツチング材を異方性エツチングする工
程と、堆積性ガスにより保護膜を形成する工程と、堆積
性ガスにより保護膜として形成する堆積物の過剰分を除
去する工程とを有するようにしたものである。
〔作  用〕
ゲート膜や前記ゲート膜やシリコン基板のエツチングに
おいて、エツチング用処理ガスとして還元性フッ化化合
物ガスとハイドロカーボン系ガスと被エツチング材の原
子径より大きいハロゲンガスと化化合物ガス、またはエ
ツチング用処理ガスとして還元性フッ化化合物ガスとC
Qを含むハイドロカーボン系ガス化化合物ガスを用いる
る。
これにより、堆積性ガスであるハイドロカーボン系ガス
の作用によって、被エツチング材のエツチング面及び側
壁に堆積物が付着する。このような状態で、エツチング
性ガスである還元性フッ化化合物ガスの作用によって、
被エツチング材のエツチング面に付着した堆積物及び被
エツチング材のエツチング面が異方性エツチングされる
。さらに、ハロゲンガスやハイドロカーボン系ガスに含
まれているC息の作用により、被エツチング材の表面に
付いた堆積膜及び過剰に付いたサイドウオール膜が除去
される。
このように処理されるので、エツチング速度の向上及び
形状精度の良い異方性のエツチングが可能となり、エツ
チング性能を向上させることができる。
また、エツチング性ガスにより被エツチング材を異方性
エツチングする工程と、堆積性ガスにより保護膜を形成
する工程と、堆積性ガスにより保護膜として形成する堆
積物の過剰分を除去する工程とを有する処理とすること
により、 側壁に保護膜を形成するとともに保護膜として形成する
堆積物の過剰分を除去し、異方性エツチングを行うので
、精度の良い異方性エツチングを行うことができる。
〔実施例〕
以下、本発明の一実施例を第1図ないし第6図により説
明する。
第1図(a)(b)は、エツチング用処理ガスとして、
還元性フッ化化合物ガス(例えばSFI、)と。
ハイドロカーボン系ガス(例えばCH,F、)と、被エ
ツチング材の原子径より大きいハロゲンガス(例えばC
Q2)化化合物ガスを用い、該処理ガスをプラズマ化し
てゲート膜をエツチング処理しているときの様子を示す
。この場合の被処理物としては、シリコン基板(上に絶
縁膜であるSin、膜2を形成し、その上にPoly−
5i膜3.Wsix膜4を順次重ねたゲート膜を形成し
、WSix膜4の上にマスク5を形成したものとなって
いる。
このようなゲート膜のエツチング中は、堆積性ガスであ
るハイドロカーボン系ガスの作用によって、第1図(a
)に示すWSix膜4のエツチングでは、被エツチング
材の表面1図中ではWSix膜4の平面及びWSix膜
4の側壁に堆積物6(C,H,W、Cl等)が付着する
。また、第1図(b)に示すPo1y−3i膜3のエツ
チングでは、被エツチング材の表面、図中でttPol
y−5i膜3の平面及びWSix膜4とPo1y−5i
膜3との側壁に堆積物6 (C,H,Si。
CQ等)が付着する。
また、この間、エツチング性ガスであるSF。
の作用によって、第1図(a)に示すWSix膜4のエ
ツチングでは、WSix膜4の平面に付着した堆積物6
及びWSix膜4の平面がWFχ(χ=1.2.3・・
・・)(以下rWFχ」と略)等となってエツチング除
去され、深さ方向にエツチングが進行する。また、第1
図(b)に示すPo1y−5i膜3のエツチングでは、
Po1y−8i膜3の平面に付着した堆積物6及びPo
1y−8i膜3の平面がSiFχ(χ=1.2.3・・
・)(以下rSiFχ」と略)等となってエツチング除
去され、深さ方向にエツチングが進行する。
さらに、被エツチング材の原子径より大きいハロゲンガ
スであるCQ、の作用によって、主にプラズマ中のCl
イオン7が、第1図(a)に示すWSix1lI4のエ
ツチングでは、WSix膜4の平面方向に入射して、平
面上に付着した堆積物6と反応して、CCu、HCQ、
W(ly (:y=1.2゜3・・・)(以下rWC1
2yJと略)等となって堆積物6の除去を助け、SF、
による被エツチング材のエツチング速度を向上させてい
る。また、第1図(b)に示すPo1y−8i膜3のエ
ツチングでは、Po1y−8i膜3の平面方向に入射し
て。
平面上に付着した堆積物6と反応して、CCQ。
HCQ、5iCffiy  (y=1.2.3・・・)
(以下rSi(llyJと略)等となって堆積物6の除
去を助け、SF、による被エツチング材のエツチング速
度を向上させている。
また、プラズマ中のCDラジカル8が、第1図(a)に
示すWSix膜4のエツチングでは、被エツチング材の
サイドウオール膜となっている側壁部の堆積物6と反応
し、CCQ、H(1゜WCQy等となって堆積物6の除
去を行い、側壁部に付着する堆積物6の量が過剰になら
ないようにしている。また、第1図(b)に示すPo1
y−3i膜3のエツチングでは、被エツチング材のサイ
ドウオール膜となっている側壁部の堆積物6と反応し、
CCQ、HCQ、5iCQy等となって堆積物6の除去
を行い、側壁部に付着する堆積物6の量が過剰にならな
いようにしている。このようにして第1図(a)(b)
に示す堆積物6は、CQの化合物9となって除去される
なお、CQイオン7及びCDラジカル8もWSix膜4
やPo1y−8ilii3のエツチングに寄与する。
次に、このようにエツチングを行う装置の例を第2図に
示す。
処理室10の上部には石英製の放電管11が設けてあり
、真空処理室を形成している。処理室10には。
真空処理室内にエツチング用処理ガスを供給するガス供
給源(図示省略)につながるガス供給口19が設けてあ
り、また、真空処理室内部を所定圧力に減圧・排気する
真空排気装置(図示省I[18)につながる排気口18
が設けである。処理室10内には被エツチング材である
ウェハ14を配置する試料台12が設けである。試料台
12には高周波電源13が接続してあり、試料台12に
高周波電力を印加可能になっている。放電管11の外側
には放電管11を囲んで導波管15が設けてあり、さら
にその外側には放電管11内に磁界を発生させるコイル
17が設けである。導波管15の端部にはマイクロ波を
発するマグネトロン16が設けである。
このような装置では、ガス供給口19から真空処理室内
にエツチング用処理ガスを供給するとともに、真空処理
室内を所定の圧力に減圧・排気し、導波管15によって
マグネトロン16からのマイクロ波を放電管11内に導
入するとともに、コイル17によって磁界を形成し、マ
イクロ波の電界とコイル17による磁界との作用によっ
て、放電管11内の処理ガスをプラズマ化する。さらに
、高周波電源13によって試料台12に高周波電力を印
加し、バイアス電圧を生じさせ、プラズマ中のイオンを
ウェハ14側に引込み、異方性エツチングを行わせるよ
うにしている。
本装置を用いて上記のゲート膜のエツチングを行ったと
きの実験結果を第3図ないし第6図に示す。この場合の
条件は、被エツチング対象としてはPo1y−3i膜で
、エツチング用処理ガスとして、SF、とCH,F、と
CQ、との混合ガスを用い1表1に示すケース1ないし
3で行った。
第3図に示すように、ケース1,2.3のようにCL 
の量を増やしていくと、エツチング速度及び選択比が向
上し、寸法変換量(エツチング底部の幅 −マスク幅)
の減少、すなわち、テーバ上の末広がりの寸法が小さく
なることがわかる。
なお、第3図のエツチング速度比はCQ2のガス流量が
80mu/winのときを基準とした。これは、第1図
(b)で示したようにCQイオンやCaラジカルの作用
によって、エツチング面及び側壁に付着した堆積物が効
率良く除去されるからと考えられる。
表1 をエツチングしたしたときのエツチング断面の形状であ
る。第4図(b)はそれをアッシングしてマスク5を取
り除いたときの断面形状である。
第5図(a)はケース2で第1図に示す被処理物をエツ
チングしたしたときのエツチング断面の形状である。第
5図(b)はそれをアッシングしてマスク5を取り除い
たときの断面形状である。これによると、ケース1のと
きよりもエツチングされた形状が改善されていることが
わかる。
第6図(a)はケース3で第1図に示す被処理物をエツ
チングしたしたときのエツチング断面の形状である。、
第6図(b)はそれをアッシングしてマスク5を取り除
いたときの断面形状である。これによると、ケース2の
ときよりも、さらにエツチングされた形状が改善され、
垂直にエツチングされていることがわかる。
以上、本実施例によれば、ゲート膜をエツチング処理す
るにあたって、エツチング用処理ガスとして、SF、と
CH2F2とにCQ、を加えて用いることにより、堆積
物の過剰な付着を防止、すなわち、0党2ガスが被エツ
チング材の表面に付いた堆積膜及び過剰に付いたサイド
ウオール膜を除去するので、エツチング形状の改善、す
なわち、異方性エツチングの高精度化及びエツチング速
度の向上が図れる。これにより、エツチング性能を向上
させることができる。
また、Cp2ガスが下地酸化膜(SiO2膜)を削る還
元性のガス(S、C,H等)の濃度を希釈、すなわち、
CQがS、C,H等と反応してS。
C,H等の濃度を希釈するので、5in2膜のエツチン
グ速度を低下させることができ1選択比の向上が図れる
また、本実施例によれば、第2図に示すようにエツチン
グ処理中に生じる放電管11内面への堆積物の付着もC
aラジカルの作用によって、除去することができるので
、放電管11の曇りを防止することができ、プラズマ中
の発光をモニターするのに採光量の低下がなくなり、エ
ツチング終点検出の際に再現性が良くなるという効果が
ある。また、クリーニング効果があるので、エツチング
の再現性が良くなるとともに、クリーニングの頻度も少
なくできるという効果がある。
なお、本−実施例では、還元性フン化化合物ガスとして
、SF、の場合について説明したが、この他にNF、を
用いても同様に効果が得られる。
また、ハイドロカーボン系ガスとして、CH,F2の場
合について説明したが、この他のハイドロカーボン系ガ
スとしてハイドロフロロカーボン系ガス、例えば、CH
F6、CH,F、C2H,F、。
C,HF6、C2H,F、C2H,F、C2H4F、。
C2H,F、等を用いても良い、また、被エツチング材
の原子径より大きいハロゲンガスとして、CQ2の場合
について説明したが、この他にBr2.I、等を用いて
も良い、この場合は、BrイオンやBrラジカルまたは
エイオンや■ラジカルがCQイオンやCQラジカルと同
様の作用をする。
また、本−実施例では、エツチング用処理ガスとして還
元性フッ化化合物ガスとハイドロカーボン系ガスと被エ
ツチング材の原子径より大きいハロゲンガス化化合物ガ
スを用いたが、ハイドロカーボン系ガスの代わりに、C
Qを含むハイドロカーボン系ガスとしても良い、また、
還元性フッ化化合物ガスとCQを含むハイドロカーボン
系ガス化化合物ガスとしても良い。この場合は、ハイド
ロカーボン系ガスに含まれたCflが、被エツチング材
の原子径より大きいハロゲンガスの役目をする。CQを
含むハイドロカーボン系ガスとししては、CHClF2
.CHCQ2F、CH,CQ。
CH2C党2等がある。
また、本−実施例では、ゲート膜として、WSix/P
o1y−3i膜の場合について説明したが、この他にP
o1y  Si[ljだけの場合でも良いことはいうま
でもないが、W膜でも良い。
このW膜の場合について以下説明する。
第7図にW膜のエツチング中の状態を示す。この場合の
処理ガスは、SF、とCH2F、とCl2との混合ガス
である。この場合の堆積物はW、C。
H,Cf1等の化合物であり、堆積物はwcn。
CCQ、HCQとなって除去される。W膜はWFy、W
C11χ となってエツチング除去される。
また、本−実施例では、ゲート膜として用いたWSix
/Po1y−5i膜のW S i x膜を前記ゲート膜
としてゲート膜以外に用いたものであってもエツチング
処理できることはいうまでもないが、この他の前記ゲー
ト膜としてTiW膜もエツチング処理することができる
。この場合の例について以下説明する。
LSIの多層配線において、従来、AQまたはAQ合金
膜(AQ−3i、AQ−Cu−5i。
Afl−Ti−3i等)が適用されてきたが、微細化に
ともないストレスマイグレーション、エレクトロマイグ
レーション等によるAI2系配線の断線が問題となって
きており、これを解決するものとしてAf1合金とバリ
ヤーメタルの積M構造が採用されるようになった。この
バリヤーメタルとしては、WS i x、TiW等の前
記ゲート膜が適用されている。この前記ゲート膜を塩素
系ガスプラズマ(例えば、BCQ3,5iCl4゜CQ
、等)で加工した場合、エツチング速度が遅くなるとい
う問題があった。また、SF、ガスプラズマで加工した
場合は、エツチング速度は向上するが、等方的なエツチ
ングになるという問題があった。
あった。
しかし1本実施例の処理ガスを用いることにより、バリ
ヤーメタルの前記ゲート膜を高速で異方性良くエツチン
グできる。前記ゲート膜のエツチング中の状態を第8図
に示す。この場合の処理ガスは、SFGとCH,F2と
CQ2との混合ガスである。この場合の堆積物はW、C
,H。
Cl等の化合物であり、堆積物はwcny。
CCQ、HCQとなって除去される。前記ゲート膜はW
Fχ、WCflyとなってエツチング除去される。
さらに、本実施例の処理ガスは、Po1y−8i膜のエ
ツチングできるように、シリコン基板のエツチングにつ
いても適用可能である。このシリコン基板の場合につい
て以下説明する。
第9図にシリコン基板のエツチング中の状態を示す、こ
の場合の処理ガスは、SFsとCH2F2とCl2との
混合ガスである。この場合の堆積物はSi、C,H,C
Q等の化合物であり、堆積物は5iCQy、CC党、H
Cl2となって除去される。シリコン基板はSiFχ、
5iCQyとなってエツチング除去される。
〔発明の効果〕
本発明によれば、フロン規制を受けることなく、エツチ
ング性能を向上させることができるという効果がある。
また、精度良く異方性エツチングが行えるという効果が
ある。
【図面の簡単な説明】
第↓図は本発明の一実施例であるエツチング方法による
処理中のエツチング断面を示す図、第2図は本発明を実
施するための装置の一例であるマイクロ波プラズマ処理
装置を示す縦断面図、第3図は、本発明の一例である処
理ガス流量を変えたときのエツチング性能を示す図、第
4図はCQ2ガスを40mQ/ff1inの流量で加え
て処理したときのエツチング処理後及びアッシング後の
エツチング断面を示す図、第5図はCQ2ガスを60+
L/@inの流量で加えて処理したときのエツチング処
理後及びアッシング後のエツチング断面を示す図、第6
図はcu、ガスを80*Q/winの流量で加えて処理
したときのエツチング処理後及びアッシング後のエツチ
ング断面を示す図、第7図はW膜のエツチング状態を示
す図、第8図は前記ゲート膜のエツチング状態を示す図
、第9図はシリコン基板のエツチング状態を示す図、第
10図は従来技術で処理したときの処理前、処理中及び
処理後のエツチング断面を示す図である。 1・・・・シリコン基板、2・・・・SiO□膜、3・
・・・Po1y−3i膜、4”WSix膜、5 ・−−
−マスク、6・・・・堆積物、7・・・・CQイオン、
8・・・・0党ラジカル、9・・・・化合物、20・・
・・W膜、21・・・第2 図 算3図 CQ2 カ1スz−1:(?IIVmi I’l )f
A4図 昂5図 拓6図 ヌr7回 V180 冨(:1図 第10図

Claims (1)

  1. 【特許請求の範囲】 1、エッチング用処理ガスとして還元性フッ化化合物ガ
    スとハイドロカーボン系ガスと被エッチング材の原子径
    より大きいハロゲンガスを混合したガスを用いてゲート
    膜またはタングステン合金膜またはシリコン基板をエッ
    チング処理することを特徴とするエッチング方法。 2、前記還元性フッ化化合物ガスは、SF_6、または
    NF_3である特許請求の範囲第1項記載のエッチング
    方法。 3、前記ハイドロカーボン系ガスは、CHF_3、CH
    _2F_2、CH_3F、C_2H_2F_2、C_2
    HF_2、C_2H_3F、C_2H_5F、C_2H
    _4F_2、C_2H_3F_3のいずれかである特許
    請求の範囲第1項記載のエッチング方法。 4、前記ハロゲンガスは、Cl_2、Br_2またはI
    _2のいずれかである特許請求の範囲第1項記載のエッ
    チング方法。 5、前記ゲート膜はWSix/Poly−Si膜、Po
    ly−Si膜、またはW膜である特許請求の範囲第1項
    記載のエッチング方法。 6、タングステン合金膜は、WSix膜、TiW膜、W
    膜である特許請求の範囲第1項記載のエッチング方法。 7、前記エッチング処理は、マイクロ波プラズマによっ
    て行い、被処理物を配置する試料台に高周波電力を印加
    して行う特許請求の範囲第1項記載のエッチング方法。 8、エッチング用処理ガスとして還元性フッ化化合物ガ
    スとClを含むハイドロカーボン系ガスを混合したガス
    を用いてゲート膜またはタングステン合金膜またはシリ
    コン基板をエッチング処理することを特徴とするエッチ
    ング方法。 9、前記還元性フッ化化合物ガスは、SF_6、または
    NF_3である特許請求の範囲第8項記載のエッチング
    方法。 10、前記Clを含むハイドロカーボン系ガスは、CH
    ClF_2、CHCl_2F、CH_3Cl、CH_2
    Cl_2いずれかである特許請求の範囲第8項記載のエ
    ッチング方法。 11、前記ゲート膜はWSix/Poly−Si膜、P
    oly−Si膜、またはW膜である特許請求の範囲第8
    項記載のエッチング方法。 12、タングステン合金膜は、WSix膜、TiW膜、
    W膜である特許請求の範囲第8項記載のエッチング方法
    。 13、前記エッチング処理は、マイクロ波プラズマによ
    って行い、被処理物を配置する試料台に高周波電力を印
    加して行う特許請求の範囲第8項記載のエッチング方法
    。 14、ガスプラズマによって被処理物をエッチングする
    方法において、エッチング性ガスにより被エッチング材
    を異方性エッチングする工程と、堆積性ガスにより保護
    膜を形成する工程と、該保護膜との反応性ガスにより該
    保護膜として形成する堆積物の過剰分を除去する工程と
    を有することを特徴とするエッチング方法。 15、前記それぞれの工程は、同時に行われる特許請求
    の範囲第14項記載のエッチング方法。 16、前記被処理物は、ゲート膜またはタングステン合
    金膜またはシリコン基板である特許請求の範囲第14項
    記載のエッチング方法。 17、前記エッチング性ガスとして還元性フッ化化合物
    ガスを用い、前記堆積性ガスとしてとハイドロカーボン
    系ガスを用い、前記保護膜との反応性ガスとして被処理
    物の原子径より大きいハロゲンガスを用いる特許請求の
    範囲第14項記載のエッチング方法。 18、前記エッチング性ガスとして還元性フッ化化合物
    ガスを用い、前記堆積性ガスおよび前記保護膜との反応
    性ガスとしてとClを含むハイドロカーボン系ガスを用
    いる特許請求の範囲第14項記載のエッチング方法。 19、前記エッチング処理は、マイクロ波プラズマによ
    って行い、被処理物を配置する試料台に高周波電力を印
    加して行う特許請求の範囲第14項記載のエッチング方
    法。
JP1218530A 1989-08-28 1989-08-28 エッチング方法 Pending JPH0383335A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP1218530A JPH0383335A (ja) 1989-08-28 1989-08-28 エッチング方法
EP91300793A EP0497023A1 (en) 1989-08-28 1991-01-31 Process for anisotropic etching of thin films
US07/658,254 US5110408A (en) 1989-08-28 1991-02-20 Process for etching

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP1218530A JPH0383335A (ja) 1989-08-28 1989-08-28 エッチング方法
EP91300793A EP0497023A1 (en) 1989-08-28 1991-01-31 Process for anisotropic etching of thin films

Publications (1)

Publication Number Publication Date
JPH0383335A true JPH0383335A (ja) 1991-04-09

Family

ID=40193848

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1218530A Pending JPH0383335A (ja) 1989-08-28 1989-08-28 エッチング方法

Country Status (3)

Country Link
US (1) US5110408A (ja)
EP (1) EP0497023A1 (ja)
JP (1) JPH0383335A (ja)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
JPH05267249A (ja) * 1992-03-18 1993-10-15 Hitachi Ltd ドライエッチング方法及びドライエッチング装置
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
US5318667A (en) * 1991-04-04 1994-06-07 Hitachi, Ltd. Method and apparatus for dry etching
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JP3412173B2 (ja) * 1991-10-21 2003-06-03 セイコーエプソン株式会社 半導体装置の製造方法
JP3502096B2 (ja) * 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5188980A (en) * 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
US5378648A (en) * 1992-07-15 1995-01-03 Micron Technology, Inc. Situ stringer removal during polysilicon capacitor cell plate delineation
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
WO1994014198A1 (en) * 1992-12-11 1994-06-23 Intel Corporation A mos transistor having a composite gate electrode and method of fabrication
JP3181741B2 (ja) * 1993-01-11 2001-07-03 富士通株式会社 半導体装置の製造方法
EP0646959B1 (en) * 1993-09-30 2001-08-16 Consorzio per la Ricerca sulla Microelettronica nel Mezzogiorno Metallization and bonding process for manufacturing power semiconductor devices
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US5674782A (en) * 1993-12-31 1997-10-07 Samsung Electronics Co., Ltd. Method for efficiently removing by-products produced in dry-etching
US5413669A (en) * 1994-02-07 1995-05-09 Applied Materials, Inc. Metal CVD process with post-deposition removal of alloy produced by CVD process
US5651856A (en) * 1996-01-22 1997-07-29 Micron Technology, Inc. Selective etch process
KR100244793B1 (ko) * 1996-05-01 2000-03-02 김영환 반도체 소자의 콘택홀 형성방법
US5874363A (en) * 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US6534409B1 (en) 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
JP3594759B2 (ja) * 1997-03-19 2004-12-02 株式会社日立製作所 プラズマ処理方法
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
JP2001514444A (ja) 1997-08-26 2001-09-11 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバへ安定した電力を送ることができる装置及び方法
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US6312616B1 (en) * 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
JP3310957B2 (ja) * 1999-08-31 2002-08-05 東京エレクトロン株式会社 プラズマ処理装置
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6541343B1 (en) 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6593244B1 (en) 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
DE60121719T2 (de) * 2001-01-19 2007-07-05 Centre National De La Recherche Scientifique (Cnrs) Verfahren zur Herstellung einer Wellenleiterkomponente mit mehreren Schichten auf einem Substrat und damit versehene Komponente
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US20040221800A1 (en) * 2001-02-27 2004-11-11 Tokyo Electron Limited Method and apparatus for plasma processing
JP2002343777A (ja) * 2001-03-12 2002-11-29 Hitachi Ltd 半導体装置の製造方法
US6551942B2 (en) 2001-06-15 2003-04-22 International Business Machines Corporation Methods for etching tungsten stack structures
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
JP2003068705A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体素子の製造方法
EP1512770B1 (en) * 2002-03-08 2012-04-25 Canon Anelva Corporation Method and apparatus for production of metal film
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US6855643B2 (en) * 2002-07-12 2005-02-15 Padmapani C. Nallan Method for fabricating a gate structure
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US20060166416A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Addition of ballast hydrocarbon gas to doped polysilicon etch masked by resist
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
US7786019B2 (en) 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
JP2009021584A (ja) * 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
JP2010080850A (ja) * 2008-09-29 2010-04-08 Toshiba Corp 半導体製造装置及びそのクリーニング方法
US9533332B2 (en) 2011-10-06 2017-01-03 Applied Materials, Inc. Methods for in-situ chamber clean utilized in an etching processing chamber
US8932947B1 (en) 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
CN104230175B (zh) * 2014-09-05 2017-07-18 长沙市宇顺显示技术有限公司 玻璃蚀刻液及玻璃蚀刻方法
CN113053744B (zh) * 2019-12-27 2024-03-22 株式会社日立高新技术 半导体装置的制造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60194524A (ja) * 1984-03-16 1985-10-03 Hitachi Ltd プラズマ処理の制御方法
JPS631334A (ja) * 1986-06-20 1988-01-06 Mitsubishi Electric Corp 回転電機の電機子巻線

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1417085A (en) * 1973-05-17 1975-12-10 Standard Telephones Cables Ltd Plasma etching
US4473435A (en) * 1983-03-23 1984-09-25 Drytek Plasma etchant mixture
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4741799A (en) * 1985-05-06 1988-05-03 International Business Machines Corporation Anisotropic silicon etching in fluorinated plasma
JP2603217B2 (ja) * 1985-07-12 1997-04-23 株式会社日立製作所 表面処理方法及び表面処理装置
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
JPS62111432A (ja) * 1985-11-08 1987-05-22 Fujitsu Ltd 半導体装置の製造方法
US4713141A (en) * 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4923562A (en) * 1987-07-16 1990-05-08 Texas Instruments Incorporated Processing of etching refractory metals
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60194524A (ja) * 1984-03-16 1985-10-03 Hitachi Ltd プラズマ処理の制御方法
JPS631334A (ja) * 1986-06-20 1988-01-06 Mitsubishi Electric Corp 回転電機の電機子巻線

Also Published As

Publication number Publication date
EP0497023A1 (en) 1992-08-05
US5110408A (en) 1992-05-05

Similar Documents

Publication Publication Date Title
JPH0383335A (ja) エッチング方法
US6046115A (en) Method for removing etching residues and contaminants
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
JP3390814B2 (ja) 酸化物部分又は窒化物部分を含む被処理体のエッチング方法
US4547260A (en) Process for fabricating a wiring layer of aluminum or aluminum alloy on semiconductor devices
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
JPH0982687A (ja) 半導体装置の製造方法
JPH0758079A (ja) キセノンを用いたプラズマエッチング
KR101895095B1 (ko) 퇴적물 제거 방법
JPS6313334A (ja) ドライエツチング方法
JP4184851B2 (ja) プラズマ処理方法
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
US6756314B2 (en) Method for etching a hard mask layer and a metal layer
JP2891952B2 (ja) 半導体装置の製造方法
JPH06338479A (ja) エッチング方法
JPS63117423A (ja) 二酸化シリコンのエツチング方法
US20030148622A1 (en) High selectivity and residue free process for metal on thin dielectric gate etch application
JP3760843B2 (ja) 半導体装置の製造方法
US7055532B2 (en) Method to remove fluorine residue from bond pads
JPH10308447A (ja) 半導体装置の製造方法
US6329294B1 (en) Method for removing photoresist mask used for etching of metal layer and other etching by-products
JP3082329B2 (ja) 酸化シリコン上の窒化シリコンのエッチング方法
JPH0432228A (ja) ドライエッチング方法およびこれを用いた半導体装置の製造方法
JPH05129246A (ja) 半導体製造装置のクリーニング方法
JP3963295B2 (ja) ケミカルドライエッチング方法