JP3334911B2 - パターン形成方法 - Google Patents

パターン形成方法

Info

Publication number
JP3334911B2
JP3334911B2 JP20493892A JP20493892A JP3334911B2 JP 3334911 B2 JP3334911 B2 JP 3334911B2 JP 20493892 A JP20493892 A JP 20493892A JP 20493892 A JP20493892 A JP 20493892A JP 3334911 B2 JP3334911 B2 JP 3334911B2
Authority
JP
Japan
Prior art keywords
film
light
etching
pattern
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP20493892A
Other languages
English (en)
Other versions
JPH0653188A (ja
Inventor
康弘 関根
浩 譲原
玄三 門間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP20493892A priority Critical patent/JP3334911B2/ja
Priority to TW082105763A priority patent/TW238363B/zh
Priority to DE69326651T priority patent/DE69326651T2/de
Priority to AT93112146T priority patent/ATE185430T1/de
Priority to EP93112146A priority patent/EP0581280B1/en
Priority to KR93014801A priority patent/KR0137124B1/ko
Publication of JPH0653188A publication Critical patent/JPH0653188A/ja
Priority to US08/662,200 priority patent/US5861233A/en
Application granted granted Critical
Publication of JP3334911B2 publication Critical patent/JP3334911B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2065Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam using corpuscular radiation other than electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • G03F7/2043Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means with the production of a chemical active agent from a fluid, e.g. an etching agent; with meterial deposition from the fluid phase, e.g. contamination resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Glass Compositions (AREA)
  • Steroid Compounds (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の作製に用い
られるパターンの形成方法に関し、特にエッチングマス
クとなるパターンの形成方法、及びフォトリソグラフィ
ーの為のフォトマスクの作製方法に関するものである。
【0002】
【従来の技術】従来、微細加工を行なう場合、有機レジ
ストが用いられる。さらに該有機レジストをマスクとし
て、ドライエッチング等により、所望のパターンを形成
している。ここでマスクとなる有機レジストの微細パタ
ーンを形成する場合、使用するフォトマスクの改良、エ
ネルギー線を照射する装置の改良、有機レジストの改良
を施しても、有機レジストの加工寸法は、0.15μm
が限度であった。
【0003】また感光した有機レジストの現像時、わず
かながら加工寸法が所望の寸法より変化していた。した
がって従来、超LSIプロセスに応く利用されているフ
ォトリソグラフィー工程では、上記有機レジストを加工
寸法精度良く、0.1μm以下に制御することは、非常
に困難であった。
【0004】一方、フォトリソグラフィー技術では、ウ
エハ上に形成する拡散領域、分離領域、配線、コンタク
トホール等のパタンを予め形成したフォトマスクを用
い、これらのパタンを順次、互いの重ね合わせ精度を保
ちつつ、光学的にウエハ上に転写してゆく。この、マス
ク上のパタンをウエハ上に転写する装置としては、現
在、ステッパーと呼ばれる、縮小投影露光装置が主流と
なっている。ステッパーでは、レチクル(ステッパー用
のマスクは特にレチクルと呼ばれる)上のパタンを1/
5に縮小してウエハ上にパタン転写を行なっており、現
在、ウエハ上において0.5〜0.8μm幅のパタン
を、位置精度0.2μm以下で転写することが可能とな
っている。この時、レクチル上の遮光膜パタンに要求さ
れる寸法精度、位置精度等の規格は、ウエハ上で実際に
許容される精度から、ウエハ上へのパタン転写の際に生
ずる誤差を差し引いた値と考えられる。
【0005】ここで、一例としてウエハ上に0.5μm
パタンを寸法精度±10%で形成することを目的とし
て、レクチル寸法に許される寸法誤差を見積る。今、ウ
エハ上でのパタン形成工程における、寸法変動要因を、
レクチル寸法誤差、レジストパターン形成工程、
エッチング工程の3種として、それぞれの寄与率を1/
3ずつとすると、レチクル寸法誤差として許される寸法
変動誤差は2.5μm±0.08μmとなる。
【0006】一方、レチクル上への遮光膜パタンの形成
方法としては、露光装置として電子ビーム(Elect
ron beam 以下、EBと略す)露光装置を用い
るEBリソグラフィー法が主流となっている。その形成
工程及び、各工程における遮光膜パタンの主な寸法変動
要因を以下に示す。
【0007】1.透光性基板の研磨・洗浄(表面平坦
性、キズ) 2.透光性基板上への遮光膜の堆積(膜厚、密着性) 3.遮光膜上へのEBレジストの塗布(膜厚、感度) 4.EB露光装置による露光(ビ−ム精度、露光量) 5.露光されたEBレジストの現像(現像速度) 6.EBレジストパタンをエッチングマスクとする遮光
膜のエッチング(パタン変換差)
【0008】このように、従来のEBリソグラフィー法
では、EB露光装置によって照射される、高精度な電子
ビームのパタンを、いったんEBレジストに照射し、E
Bレジストに化学変化を生じせしめ、次いで現像を行な
うことにより、EBレジストパタンに変換する。そし
て、形成されたEBレジストパタンをエッチングマスク
として遮光膜をエッチングすることにより遮光膜パタン
を形成する。従って、最終的に形成される遮光膜パタン
の寸法変動は、EB露光装置によって照射される電子ビ
ームパタンの精度以外に、電子ビームパタンをEBレジ
ストパタンに変換する際の誤差と、EBレジストパタン
をマスクとして遮光膜をエッチングする際のパタン変換
差を含むことになる。
【0009】しかしながら、現在EB露光装置の描画精
度は、±0.1μm程度であるため、先ほど算出した許
容誤差±0.08μmを達成するためには、EB露光装
置の描画精度を保ち、しかも他の寸法及び位置精度に影
響を与える誤差要因を事実上ゼロとする必要がある。こ
の事実は、従来のレチクル製造プロセスを用いた場合、
ウエハ上で0.5μm以下のパタン寸法を形成するため
に必要なチクルを高収率で作ることが極めて困難である
ことを示している。従って、今後0.5μm以下の微細
パタン形成を、量産技術として確立するためには、その
前提として、高精度レチクルの製造技術を確立する必要
がある。
【0010】従来、フォトマスクとしては、石英等の透
明基板上にクロム等からなる遮光膜パタンを形成したも
のが用いられてきた。しかし、LSIの高集積化が進
み、ウエハ上に形成されるパタンが微細化するにつれ
て、フォトマスクの構成を変えることにより、さらに転
写パタンの解像度を向上させる試みがなされるようにな
り位相シフトマスクという技術が提案されている。
【0011】位相シフトマスクは、マスク表面に従来の
遮光膜領域および光透過性領域からなるパタン以外に、
いわゆる位相シフターからなるパタンを形成したもので
ある。位相シフターは、透過光の位相を変化させる働き
を持ち、この位相シフターの位相と、遮光膜パタンおよ
び光透過性パタンとの位置関係を適切に設計してマスク
を形成することにより、同一の投影レンズを用いた場合
でも、従来のマスクよりも解像限界の高い位相シフトマ
スクを得ることができる。
【0012】このように、位相シフトマスクでは、従来
のフォトマスクとは異なりフォトマスク基板上に遮光膜
パタンと位相シフターパタンを形成する必要があり、し
かも高精度な転写パタンを得るためには、遮光膜パタン
と位相シフターパタンをいずれも設計寸法に忠実に形成
する必要がある。しかも、遮光膜パタンと位相シフター
パタンの重ね合わせ精度も要求されるため、その製造工
程は従来のフォトマスクのそれと比較して複雑となり、
様々な技術的なブレークスルーが必要とされている。
【0013】その解決すべき技術的なブレークスルーの
1つとして位相シフターパタンの形成方法が挙げられ
る。従来、フォトマスク製造工程では、電子線リソグラ
フィー技術を用い、電子線露光装置により、電子線レジ
スト膜上にパタン描画し、次いで現像することによりレ
ジストパタンを形成し、このレジストパタンを遮光膜の
エッチングマスクとして遮光膜のエッチングを行なうこ
とによって遮光膜パタンを形成していた。
【0014】従って、位相シフターパタンの形成におい
ても、従来と同様に電子線リソグラフィー技術を用いる
ことは可能である。しかし、実際に従来方法を用いて位
相シフターパタンの形成を行なったところ、主に以下に
挙げる2つの問題点が存在することが明らかになった。
【0015】その一つは、位相シフターパタンをエッチ
ングによって形成することによって発生するマスク表面
の劣化であり、いま一つは、位相シフターパタンを電子
線レジストパタンをエッチングマスクとしてエッチング
して形成することによる位相シフターパタンの寸法精度
の悪化である。
【0016】これら2点の問題は、エッチング方法とし
て、異方性エッチングを実現できる、反応性イオンエッ
チング等ドライエッチング法を用いる限り避けることは
難しいため、マスク表面を劣化させることなく、しかも
高精度の位相シフターパタンを形成しうる方法の確立が
待たれていた。
【0017】(目的)本発明の第1の目的は、従来の技
術的課題を解決し、0.1μm以下のパターンのような
微細なパターンを形成し得るパターン形成方法を提供す
ることにある。
【0018】本発明によれば、半導体基板上、および半
導体基板上に形成された薄膜に、水素原子を付与する表
面処理を行なった後に、エネルギー線を選択的に照射
し、前記基板または、薄膜表面にエネルギー線の照射さ
れた照射領域と非照射領域とを形成し、前記非照射領域
上に、選択的にAl薄膜を形成し、それをマスクとし
て、ドライエッチングすることにより、超微細なパター
ンの形成が可能になった。
【0019】本発明の第2の目的は、レチクル表面上へ
のエネルギー線の照射領域として規定されたパタンにき
わめて忠実なパタン形状を持つ遮光膜パタンを形成する
画期的なパタン形成方法を提供することにある。
【0020】本発明の第3の目的は、半導体基体表面に
水素原子を付与する表面処理を行い、該表面の所望領域
にエネルギー線を照射し、該領域以外の非照射領域上に
選択的に金属膜を形成し、該金属膜をマスクとして該半
導体基体をエッチングすることを特徴とするパターン形
成方法を提供することにある。
【0021】本発明の第4の目的は、絶縁性表面を有す
る基体上に半導体膜を形成し、該半導体膜の表面に水素
原子を付与する表面処理を行い、該表面の所望の領域に
エネルギー線を照射し、該領域以外の非照射領域上に選
択的に金属膜を形成し、該金属膜をマスクとして該絶縁
性表面をエッチングすることを特徴とするパターン形成
方法を提供することにある。
【0022】本発明の第5の目的は、透光性基体上に遮
光性薄膜を形成し、該薄膜の表面に水素原子を付与する
表面処理を行ない、該薄膜の表面の所望の領域にエネル
ギー線を照射し、該領域以外の非照射領域上に選択的に
金属膜を形成し、該金属膜をマスクとして該薄膜をエッ
チングすることを特徴とするパターン形成方法を提供す
ることにある。
【0023】本発明の第6の目的は、基体表面に水素原
子を付与する表面処理を行い、所望の領域にエネルギー
線を選択的に照射し、前記領域以外の非照射領域上に選
択的に薄膜を形成し、前記薄膜を化学変化させて光透過
性薄膜とすることを特徴とするフォトマスクの作製方法
を提供することにある。
【0024】本発明に用いられる透光性基体としては、
石英ガラス、低膨張ガラス等、紫外線透過率が高く、か
つ遮光性薄膜との密着性が良好に確保できる材料を板状
に成形し、表面の凹凸を研磨により平滑化し、内部に
泡、脈理等の光学的に観察される異物が存在しない、又
は規格以下であることを確認して用いる。
【0025】又、遮光性薄膜としては、シリコン膜が好
ましく用いられるが、シリコン膜の形成方法としては、
スパッタ法、CVD法、真空蒸着法、いずれを用いた場
合も良好な結果を得ることができる。また、遮光性薄膜
は、積層構成になっていてもよく、一例として第1層に
MoSi2膜を、第2層にスパッタシリコン膜を用いた
構成としてもよい。また、別の例としては、第1層にC
r膜、第2層にUV照射及び高温ベークにより充分に耐
熱処理及び脱ガス処理を行なった有機レジスト層、第3
層にプラズマ2CVDによるアモルファスシリコン膜を
用いてもよい。
【0026】また、遮光性薄膜表面に水素原子を付与す
る表面改質の方法としては、遮光性薄膜表面をフッ化水
素酸水溶液で洗浄し、最表層の自然酸化膜を除去した
後、超純水中でリンスを行なう方法が最も簡便かつ確実
である。
【0027】また、エネルギー線の照射方法としては、
装置自身がエネルギー線からなるパタンを発生し、遮光
性薄膜の最表層にエネルギー線の照射領域と非照射領域
とを形成しうる、EB露光装置及び、レーザービーム露
光装置イオンビーム装置、紫外光装置等を用いることが
できる。これらを用いてエネルギービームを面に垂直又
は斜め方向から照射する。特にEB露光装置は、エネル
ギー線の照射に用いる電子ビームの径をナノメーターオ
ーダーにまで絞ることが可能であるため、極めて微細な
エネルギー線の照射、非照射領域を形成するのに有効で
ある。
【0028】また、非照射領域上に選択的に金属薄膜を
形成する工程としては、反応ガスとして、アルキルアル
ミニウムハイドライドと水素との混合ガスを用いた化学
気相堆積法が用いることができる。この場合、遮光性薄
膜表面のエネルギー線の非照射領域上への選択的なAl
膜の形成が可能である。このAl膜の選択的形成は、遮
光性薄膜の表面が水素原子によって終端しているか否か
によって生ずる現象である。すなわち、前記表面処理に
よって全面が水素終端された遮光性薄膜表面に、選択的
にエネルギー線を照射することにより、エネルギー線を
照射した部分の水素原子のみを脱離させた後に、前記化
学気相堆積法を行なうことにより、水素終端されている
部分にのみ選択的にAl膜を形成することができる。遮
光性薄膜表面における水素原子の有無により、堆積、非
堆積の選択ができるため、原子レベルでの超微細なAl
膜パタンの形成が可能である。原料ガスとしては、アル
キルアルミニウムハイドライドの中で、特にジメチルア
ルミニウムハイドライドを用いることにより、選択性に
優れた、Al膜の堆積を行なうことができる。以上述べ
た水素終端の有無による選択Al堆積方法については、
特開平3−183768号公報に詳述されている。
【0029】また、前記遮光性薄膜のエッチング方法と
しては、異方性エッチングを用いることができる。異方
性エッチングでは、被エッチング材に対して垂直方向へ
のエッチング速度が速く、水平方向へのエッチング速度
が遅いため、エッチングされたパタンエッジ部の形状が
垂直に近くなり、エッチングマスクの形状を忠実に反映
したエッチングパタンを得ることが出来る。
【0030】本発明では、エッチングマスクとしてAl
パタンを用いることになるが、エッチングマスクとして
の特性を比較すると、Alマスクは従来用いられたEB
レジストマスクに比べ、異方性エッチングに対するエッ
チング耐性が高いという優れた利点を持っている。
【0031】異方性エッチング方法としては、通常、反
応性イオンエッチング法又は反応性イオンビームエッチ
ング法が用いられることが多いが、これらの方式はいず
れもエッチング活性種として、主にイオンを用い、その
反応性イオンを被エッチング材に垂直に入射することに
よって、イオンによるスパッタリングと、イオンの被エ
ッチング材との化学反応とによって異方性エッチングを
行なっている、その際、エッチングされている表面で
は、被エッチング材だけではなく、エッチングマスクも
同時にエッチングされてゆくことが知られている。この
時、イオン入射や、反応熱の影響により、温度が上昇し
た基板表面では、EBレジストからなるエッチングマス
クでは、エッチング速度が上昇し、エッチングマスクの
寸法が減少してしまう。従って、エッチングパタンの形
状は、EBレジストマスクの形状とは異なったものとな
ってしまう、これに対し、Alマスクでは、Alを直接
エッチングする塩素系の反応ガスを用いない限り、通常
のエッチング条件に対するエッチング耐性は高く、また
スパッタ率も他の物質と比較して小さいため、エッチン
グ反応ものエッチングマスクの寸法変動は極めて小さ
く、従ってエッチングパタンの形状は、エッチングマス
クであるAlパタンの形状を忠実に反映したものとな
る。特に、Si系材料をエッチングする際に、フルオロ
カーボン系の反応ガスを用い、低ガス圧下で反応性イオ
ンビームエッチングを行なう場合に、エッチングマスク
としてAlを用いた場合には、Al表面がフルオロカー
ボン系の活性種と反応し、AlF3等の不活性薄膜によ
って覆われ、内部を保護するようになるため、極めて高
精度のエッチング加工を実現することができる。
【0032】以上述べた様に、本発明によれば、従来の
レチクル製造工程におけるEBリソグラフィー法の欠点
であった。
【0033】電子ビームパタンをEBレジストパタンに
変換する際に生ずる寸法誤差と、EBレジストパタンを
マスクとして遮光膜をエッチングする際に生ずる寸法誤
差を含まず、電子ビーム露光における描画パタンに忠実
な、遮光性薄膜パタンを形成した高精度レチクルを得る
ことが出来る。
【0034】
【実施例】(実施例1)第1の実施例について以下に説
明する。
【0035】第1の実施例は、基板Siをエッチングす
るマスクに本発明を用いた例を示す。
【0036】まず図1に示す様にSi基板P(100)
10〜20Ω−cmの基板1を炉にて酸化し、Si上に
酸化膜2を形成する。酸化膜厚は、3000〜7000
Åである。フォトリソ工程を通すことにより、必要な部
分のみ、その酸化膜を開口する。その後開口部に薄い酸
化膜3を200〜300Å程度形成する(工程a)。次
に、イオンインプラにより、N型不純物をSi上に残る
厚い酸化膜2をマスクとしてAsを打ち込む。このとき
のイオンインプラ条件は、ドーズ量、IE14〜IE1
5/cm2、加速電圧50KeV〜70KeVである。
次に打ち込んだAsを炉の中で拡散させる。
【0037】次にSi上に存在する酸化膜をHF溶液で
除去する(工程a)。
【0038】次に、エピタキシャル成長によりP基板上
にN型エピタキシャル層を2μm厚で形成する(工程
c)。
【0039】次にエピタキシャル層の表面(Si表面)
を水素終端するため化学的処理を以下の方法で行なっ
た。 (1)H2SO4:H22=1:4洗浄 (2)純水リンス (3)HF:H2O=1:100浸漬(1分間) (4)純水リンス
【0040】次にSiをエッチングする部分のみに電子
線を照射した。電子ビームの加速電圧は、25KVとし
た。電子ビームの照射量は、5×1017electro
ns/cm2である。電子線照射の後、化学的気相成長
により、Al膜を堆積した。
【0041】ここでは、前述した特開平3−18376
8号公報に記載されているようなジメチルアルミニウム
ハイドライドと水素とを用いたCVD法を採用する。A
l堆積により、パターンスペース幅0.1μm、Al膜
厚0.5μmのパターンを形成した(工程d)。その
後、ECRプラズマエッチングにより、Alがマスクし
てない部分、つまりSi表面が露出した部分をエッチン
グした。エッチングにおいて、CBrF3、CCl22
のガスを用いてEtchingを行なった。エッチング
条件は、以下に示す通りである。ガス流量20〜60S
CCM、圧力1〜10×10-2Pa、マグネトロン電流
200〜400mA、基板バイアス0〜150Wで行な
った。上記エッチングにより、エッチング深さ3μm,
パターン幅0.1μmのトレンチ溝が形成され、Siエ
ッチング後においてもマスク材であるAl材の形状に変
化はなかった(工程e)。次にマスク材となるAl膜を
除去するために、リン酸−硝酸系のAlエッチング液
で、マスク材として使用したAl膜を除去した。ここで
問題となるAl残留に関しては、ESCAによる測定に
よると素子に影響を及ぼす1012atm/cm2以下で
あることが確認できた。次にトレンチ7の内部を洗浄す
るため、HCl+H22溶液および純水をジェットノズ
ルにてSi基板にふきつけトレンチ内部を洗浄した。次
に減圧炉酸化により、上記トレンチ内部にSiO2膜を
形成し、SiO2を埋め込んだ(工程f)。また本埋め
込みに関しては、スピンオングラスによりトレンチ内部
に酸化膜を埋め込んでも可能である。このとき、トレン
チ内部のSi−Oの結合を強くするために、900〜1
000℃で炉内ベークを行なった。
【0042】上記プロセスにより、分離幅0.1μm、
トレンチ深さ3μmのトレンチアイソレーション領域を
形成することができた。
【0043】(実施例2)本実施例は、コンタクトホー
ル形成時に本発明を用いた場合を説明する。Si基板+
エピキタキシャル層を有する基板11にBPSG(ボロ
ンホスフォシリケートグラス)12を3000〜500
0Å堆積した。ここで基板11表面には、C−MOS、
Bipが形成されている。次に800〜1000℃にて
本基板を加熱し、BPSG12をリフローさせる(工程
a)。次にLPCVDにより、BPSG12上に50〜
100ÅのPoly−Si膜を形成した。このときLP
CVDのPoly−Si膜堆積条件は、温度500〜6
00℃、圧力10〜50Paガス種SiH4+N2で行な
い、50Å/minの堆積速度であった(工程b)。
【0044】次に、表面のPoly−Si膜表面を水素
終端させるため化学的処理を行なった。本処理は、実施
例1と同じである。
【0045】次にコンタクトホール部分のみに電子線を
照射した。このときの照射条件及びAl堆積条件も、実
施例1で示したものと同じであった(工程c)。上記A
l膜堆積により、Al膜厚0.1μm,コンタクトホー
ル用の0.1μm口のAlマスクが形成された。
【0046】上記AlをマスクとしてECR型マイクロ
波プラズマエッチング装置によりBPSGのEtchi
ngを行なった。Etchingは、2つのステップで
行ない、第1ステップで上層Poly−Siをエッチン
グに第2ステップでBPSGをエッチングした。第1、
第2ステップの各条件は、下の表1の通りである。
【0047】
【表1】
【0048】次にマスク材としたAl、Poly−Si
をウェットエッチングにより除去した。
【0049】Alは、HCl+H22溶液を用い、Po
ly−Siは、エチレンジアミン+ピロカテコール水溶
液により除去した(図2e)。次に基板表面を清浄化す
るため、HCl+H22溶液をジェットノズルにてSi
基板にふきつけコンタクトホール内部を洗浄した。上記
プロセスにより、0.1μm口コンタクトホールが形成
可能となった。
【0050】(実施例3)本実施例は、MOS型トラン
ジスタのゲート電極形成のために本発明を用いた場合を
示す。
【0051】Si基板21上にゲート酸化膜22を30
〜100Å程度形成後(工程a)、Poly−Si23
を上記ゲート酸化膜上にCVD法(化学的気相堆積)に
より堆積した。Poly−Si23の膜厚は、1500
〜2500Åである。さらにPoly−Si上より、リ
ンをイオンインプランテーションにより注入し、アニー
ルにより、n型doped Poly−Siを形成する
(工程b)。このときのイオンインプランテーションの
打ち込み条件は、ドーズ量5〜10E15、加速電圧6
0〜80KeVで行ない、またアニール条件は、N2
囲気中で900〜950℃20〜40minで行なっ
た。次に表面を水素終端させるため、化学的処理を行な
った。処理条件は、実施例1と同じである。次にゲート
電極形成に必要な領域以外に電子線を照射した、その条
件は、実施例1とまったく同じである。次に化学的気相
成長により、Al膜をPoly−Si33上に堆積し
た。Al堆積手段は、実施例1と同じである(工程
c)。
【0052】上記Al堆積により、Alライン巾0.1
μm、Al膜厚0.5μmのパターンをPoly−Si
23上に形成可能となった。次にECRマイクロ波プラ
ズマエッチングにより、Poly−Si23を上記Al
パターンをマスクとしてEtchingを行なった。エ
ッチング条件は、HBr、SF6混合ガスを使用し、ガ
ス流量は、各々10〜60SCCM、圧力1〜10×1
-2Paマイクロ波パワー100〜350mA、基板バ
イアス50〜150Vで行なった(工程d)。次にマス
クとしたAlをウェットエッチングにより除去した。エ
ッチング液は、HCl+H22を使用した。次に基板表
面に対して、ジエットノズルにて、HCl+H22溶液
をふきつけ、Si基板表面に露出するゲート電極25お
よび、ゲート酸化膜22を洗浄した(工程e)。上記プ
ロセスにより、0.1μmラインのゲート電極25の形
成が可能となった。また電極形成と同時にdoped−
Poly−Si配線も形成可能であった。また、ゲート
材料として使用可能な、W、Mo、Ti、Taまたそれ
らのシリサイド及び、積層構造を有するポリサイド(シ
リサイド/Poly−Si)に対しても、実施例2のパ
ターン形成方法を用いて、超微細ゲートパターンが形成
可能となった。さらに導電性レジストを用い、電子線を
照射し、Alを堆積し、そのAlを導電性レジストのマ
スクとして使用することにより、導電性レジストをパタ
ーニングし、その導電性レジストを被エッチング膜また
は、イオンインプランテーションのマスク材として、通
常のレジストと同等の扱いが可能となった。
【0053】以上、実施例1乃至3の半導体装置の製造
方法に従えば、水素原子を付与する表面処理を行なった
基板表面にエネルギー線を選択的に照射し、前記基板表
面にエネルギーの照射された照射領域と非照射領域とを
形成し、前記非照射領域上に選択的に金属薄膜を形成す
ることにより、0.1μm以下の巾の金属膜を堆積させ
ることができ、上記金属膜をマスクとして、金属膜の下
層に形成された種々の金属、半導体、絶縁膜を異方性エ
ッチングすることにより、0.1μm以下の巾の金属、
半導体、絶縁膜の加工ができるという効果がある。
【0054】(実施例4)図4に本発明によるフォトマ
スク製造プロセスを示す。まず、合成石英ガラス101
表面にスパッタリング法によりSi膜102を0.3μ
m堆積した。次いで、Si膜201の表面に、下記の手
順で化学処理を行なった。
【0055】H2SO4:H22=4:1溶液に10分、
次に純水リンス10分、次にHF:H2O=1:100
溶液に1分、次に純水リンス10分である。
【0056】そして、化学処理を終えたSi膜付基体を
電子ビーム露光装置に導入し、Si膜102表面に選択
的に電子ビームを照射し、照射領域103と非照射領域
104を作った(工程c)。電子ビームの加速電圧は2
5KVとし、電子ビームの照射量は5×1017elec
trons/cm2である。電子線照射後、大気中を搬
送し、Si膜付基体をAl堆積装置に装填した。反応ガ
スとしてジメルアルミニウムハイドライドと、水素の混
合ガスを用いた。
【0057】Al堆積条件は、全圧1.2Torr、ジ
メチルアルミニウムハイドライド分圧1.2×10-3
orr、堆積温度は270℃とし、Al膜105を膜厚
0.3μm形成した。この時、電子ビームの照射領域1
03にはAl膜は形成されず、電子ビーム非照射領域1
04にのみAl膜105は堆積された(工程d)。次い
で、マイクロ波プラズマエッチングによりAl膜105
をエッチングマスクとしてSi膜のエッチングを行なっ
た。エッチング条件は、ガス種:HBr+CH4+S
6、ガス流量100sccm(総流量)、エッチング
圧力16mTorr、マグネトロン電流300mA、基
板バイアス電圧100Vであった(工程e)。
【0058】次に、エッチングマスクとして用いたAl
をHCl:H22=1:1混合溶液でエッチング除去し
た。
【0059】(実施例5)図5に本発明によるフォトマ
スク製造プロセスを示す。まず、合成石英基板201表
面にスパッタリング法によりSi膜202を300Å堆
積した。次いで、Si膜202の表面に下記の手順で化
学処理を行なった。H2SO4:H22=4:1溶液に1
0分、次に純水リンス10分、次にHF:H2O=1:
100溶液に1分、次に純水リンス10分である。
【0060】そして、化学処理を終えたSi膜付基体2
01を電子ビーム露光装置に導入し、Si膜202表面
に選択的に電子ビームを照射し、照射領域203と非照
射領域204を作った(工程c)。電子ビームの加速電
圧は25KVとし、電子ビームの照射量は5×1017
lectrons/cm2である。電子線照射後、大気
中を搬送し、Si膜付基体201をAl堆積装置に装填
した。反応ガスとしてジメチルアルミニウムハイドライ
ドと、水素の混合ガスを用いた。Al堆積条件は、全圧
1.2Torr、ジメチルアルミニウムハイドライド分
圧1.2×10-3Torr、堆積温度は270℃とし、
Al膜205を膜厚0.3μm形成した。この時、電子
ビームの照射領域203にはAl膜は形成されず、電子
ビーム非照射領域204にのみAl膜205は堆積され
た(工程d)。次いで、マイクロ波プラズマエッチング
によりAl膜205をエッチングマスクとしてSi膜2
02のエッチングによりAl膜205をエッチングマス
クとしてSi膜202のエッチングを行なった。エッチ
ング条件は、ガス種:HBr+CH4+SF6、ガス流量
100sccm(総流量)、エッチング圧力16mTo
rr、マグネトロン電流300mA基板バイアス電圧−
100Vであった(工程e)。
【0061】次に同じくマイクロ波プラズマエッチング
により、Al膜205及びSi膜202をエッチングマ
スクとして合成石英基板201を、エッチングした。エ
ッチング条件は、ガス種C26+CHF3、ガス流量1
00sccm(総流量)、エッチング圧力16mTor
r、マグネトロン電流300mA、基板バイアス電圧−
100Vであった(工程f)。
【0062】次に、エッチングマスクとして用いたAl
をHCl:H22=1:1混合溶液でエッチング除去し
た。
【0063】次に、フッ素ラジカルを用いたダウンフロ
ーエッチングにより合成石英基板201表面に残ってい
るシリコン膜202をエッチング除去した。こうして合
成石英基板201表面を所望形状にエッチングした構造
を形成した(工程g)。このような構造では、エッチン
グを行なった部分も、エッチングを行なっていない部分
も等しく光を透過させるが、両者間で透過した光の位相
差が生ずるため、位相シフトマスクとして機能する。ま
た、本実施例において、あらかじめ合成石英基板201
表面に遮光膜パターンを形成しておけば、位相シフトマ
スクの、他の構成、すなわち空間周波数変調型、エッジ
強調型、遮光効果強調型などの位相シフトマスクの形成
においても、位相シフターの形成方法として用いること
ができるのはもちろんである。
【0064】(実施例6)図6に示すように、3″φ,
厚さ2mmのシリコンウエハ301表面に、化学気相成
長法により、窒化シリコン膜302、3μmを堆積する
(工程b)。次いで、一方の面上にスパッタリング法に
よりタンタル膜303を1μm堆積し(工程c)、さら
にその上にスパッタリング法によりシリコン膜304
を、0.1μm堆積する(工程d)。次にシリコン膜3
04の表面を下記の手順で洗浄した。
【0065】H2SO4:H22=4:1溶液に10分、
次に純水リンス10分、次にHF:H2O=1:100
溶液に1分、次に純水リンス10分である。
【0066】そして、洗浄を終えたシリコンウエハ30
1を電子ビーム露光装置(図示せず)に導入し、シリコ
ン膜304表面に選択的に電子ビーム照射を行ない、電
子ビーム照射領域311と電子ビーム非照射領域312
を形成した(工程e)。電子ビームの加速電圧は25K
Vとし、電子ビームの照射量は5×1017electr
ons/cm2とした。電子線照射後、大気中を搬送
し、基体をAl−CVD装置に装填した。反応ガスとし
ては、ジメチルアルミニウムハイドライドと水素の混合
ガスを用いた。Al膜の堆積条件は、全圧1.2Tor
r、ジメチルアルミニウムハイドライド分圧1.2×1
-3Torr、堆積温度は270℃とし、Al膜305
を膜厚0.3μm形成した。この時、電子ビーム照射領
域311にはAl膜は堆積せず、電子ビーム非照射領域
にのみ選択的にAl膜が堆積した(工程f)。次いで、
マイクロ波プラズマエッチングによりAl膜305をエ
ッチングマスクとして下層のシリコン膜304及びタン
タル膜303のエッチングを行なった。エッチングガス
としては、CF3Brを用い、ガス流量50sccm、
エッチング圧力10mTorr,マグネトロン電流30
0mA、基板バイアス電圧は−100Vであった。次に
エッチングマスクとして用いたAl膜305を、HC
l:H22=1:1混合溶液でエッチング除去した(工
程g)。次に、ウエハの裏面の窒化シリコン膜の中央部
分をフォトリソグラフィーによってエッチング除去し
(工程h)、さらに露出したシリコンウエハ301表面
を煮沸KOH水溶液によりエッチングし、ウエハ表面付
近の、窒化シリコン膜303及びその表面に形成された
シリコン/タンタル積層膜ペタンのみを残すようにし
た。
【0067】このようにして、シリコン/タンタル積層
膜をX線吸収パタンとしたX線マスクを形成することが
できた。
【0068】従来のX線マスクの作製方法では、電子ビ
ーム入射の時に下地のTa膜からの2次電子放出による
解像度の低下が生ずるが、本実施例では、重金属上にシ
リコン膜を形成し、これに直接電子ビーム描画を行えば
よい。ここでの電子ビームはSi表面の水素原子の脱離
に用いられるために、2次電子による悪影響が現われな
い。
【0069】以上説明した実施例4乃至6によればEB
リソグラフィー法により、電子ビームパターンを一度E
Bレジストパタンへと変換し、さらにEBレジストパタ
ンからエッチングパタンへと変換するという、2段階の
プロセスを経ていた。フォトマスク上の遮光膜パタンの
形成を、遮光膜パタン表面への電子ビーム照射と、電子
ビーム非照射領域へのAlエッチングマスクの直接形成
と、Alエッチングマスクを用いたエッチングにより形
成できる。従って、遮光膜パタンの寸法変動要因が少な
くなると共に、工程簡略化を図ることができる。しか
も、遮光膜上へのAlエッチングマスクの選択的形成プ
ロセスでは、0.1μm以下の微細パタン形成が可能で
あるため、サブミクロンオーダーでの寸法制御性を持っ
たフォトマスクパタンを形成することが可能となる。
【0070】(実施例7)図7において、401は石英
基板、402はクロムパタン、403はシリコン膜、4
04は電子線の入射、405はアルミニウム膜、406
は酸化アルミニウム膜、407は酸化シリコン膜を、4
14は電子線非照射領域を、424は電子線照射領域を
示す。
【0071】まず、表面をフォトマスクグレードに研磨
した厚さ0.18インチの5インチ角の石英基板401
上に、通常の電子線リソグラフィー法を用い、遮光領域
としてクロムパタン402を形成する(工程a)。
【0072】次いで、クロムパタン402を形成した石
英基板401表面全体にスパッタリング法により、シリ
コン膜103を300Å堆積した(工程b)。
【0073】次いで、Si膜403の表面に、下記の手
順で化学処理を行なった。
【0074】H2SO4:H22=4:1溶液に10分、
次に純水リンス10分、次にHF:H2O=1:100
溶液に1分、次に純水リンス10分である。
【0075】次に、化学処理を終えたSi膜付基体40
1を電子ビーム露光装置に導入し、Si膜403表面に
選択的に電子ビーム404を照射し、照射領域424と
非照射領域414を作った(工程b)。電子ビームの加
速電圧は25KVとし、電子ビームの照射量は5×10
17electrons/cm2である。電子線照射後、
大気中を搬送し、Si膜付基体101をAl堆積装置に
装填した。反応ガスとして、ジメチルアルミニウムハイ
ドライドと、水素の混合ガスを用いた。Al堆積条件
は、全圧1.2Torr、ジメチルアルミニウムハイド
ライド分圧1.2×10-3Torr、堆積温度は270
℃とし、Al膜405を膜厚0.09μm形成した。こ
の時、電子ビームの照射領域424にはAl膜は形成さ
れず、電子ビーム非照射領域414にのみAl膜405
が選択的に堆積された(工程c)。
【0076】次いで、Al膜パタン405が形成された
基体401を酸素雰囲気中400℃、1時間処理するこ
とにより、Al膜405を酸化し、酸化アルミニウム膜
406とした。この時、酸化アルミニウム膜406の膜
厚は0.26μmであった。このようにして、石英基板
401上に、クロム膜402からなる遮光膜パタンと、
酸化アルミニウム膜406からなる位相シフターパタン
を形成することができた(工程c)。上記の例では、石
英基板401及びクロム膜402の表面に膜厚300Å
のシリコン膜403を残しているが、このシリコン膜4
03は、フッ素ラジカルをエッチャントするダウンフロ
ー型プラズマエッチングにより、エッチング完了後、下
地表面にダメージを与えることなく除去することができ
た(工程d)。
【0077】もちろん、上述した工程c及び工程dのい
ずれの膜構成であっても光透過性領域に存在する積層膜
のそれぞれの膜厚を最適化することにより、位相シフト
マスクとして使用することができた。
【0078】(実施例8)図8において、501は石英
基板、502はモリブデンシリサイドパタン、503は
シリコン膜、504は電子線の入射、505はアルミニ
ウム膜、506は酸化アルミニウム膜、507は酸化シ
リコン膜を、514は電子線非照射領域を、524は電
子線照射領域を示す。
【0079】まず、表面をフォトマスクグレードに研磨
した厚さ0.18インチの5インチ角の石英基板501
上に、通常の電子線リソグラフィー法を用い、遮光領域
としてモリブデンシリサイドパタン502を形成する
(工程a)。
【0080】次いで、クロムパタン502を形成した石
英基板501表面全体にスパッタリング法により、シリ
コン膜503を300Å堆積した(工程b)。
【0081】次いで、Si膜503の表面に、下記の手
順で化学処理を行なった。
【0082】H2SO4:H22=4:1溶液に10分、
次に純水リンス10分、次にHF:H2O=1:100
溶液に1分、次に純水リンス10分である。
【0083】そして、化学処理を終えたSi膜付基体5
01を電子ビーム露光装置に導入し、Si膜503表面
に選択的に電子ビーム504を照射し、照射領域524
と非照射領域514を作った(工程b)。電子ビームの
加速電圧は25KVとし、電子ビームの照射量は5×1
17electrons/cm2である。電子線照射
後、大気中を搬送し、Si膜付基体501をAl堆積装
置に装填した。反応ガスとして、ジメチルアルミニウム
ハイドライドと、水素の混合ガスを用いた。Al堆積条
件は、全圧1.2Torr、ジメチルアルミニウムハイ
ドライド分圧1.2×10-3Torr、堆積温度は27
0℃とし、Al膜505を膜厚0.09μm形成した。
この時、電子ビームの照射領域524にはAl膜は形成
されず、電子ビーム非照射領域514にのみAl膜50
5が選択的に堆積された(工程c)。
【0084】次いで、Al膜パタン505が形成された
基体501を酸素雰囲気中400℃、1時間処理するこ
とにより、Al膜505を酸化し、酸化アルミニウム膜
506とした。この時、酸化アルミニウム膜506の膜
厚は0.26μmであった。このようにして、石英基板
501上に、モリブデンシリサイド膜502からなる遮
光膜パタンと、酸化アルミニウム膜506からなる位相
シフターパタンを形成することができた(工程c)。
【0085】また、図8の工程cに示した状態で、さら
に水蒸気雰囲気中、800℃で1時間処理を行なうこと
により、酸化アルミニウム膜506に覆われた領域を除
くシリコン膜503を酸化シリコン膜507とすること
ができた(工程d)。
【0086】また、図8の工程dに示した状態で、さら
に水蒸気雰囲気中、800℃で10時間処理を行なうこ
とにより、シリコン膜503をすべて酸化シリコン膜5
07へ変化させることができた。
【0087】もちろん、上述した図8の工程c乃至eの
いずれの膜構成であっても光透過性領域に存在する積層
膜のそれぞれの膜厚を最適化することにより、位相シフ
トマスクとして使用することができた。
【0088】
【発明の効果】以上説明したように、位相シフトマスク
における位相シフターパタンの形成を、位相シフターパ
タンを形成する領域にのみ選択的に堆積させた膜を透明
化することによって行なうことができる。しかも、位相
シフターパタンの形成工程に、エッチング工程が不要で
あることから、下地基板にダメージを与えることなく、
エッチング工程におけるパタン変換差も発生しない。さ
らに透明化する膜の選択的形成方法としては、電子線、
露光装置を用いた、電子線の照射領域、非照射領域の、
非照射領域にのみ膜を堆積させることが可能であるた
め、0.1μm以下から、数μm以上の膜パタンを、電
子線露光装置の描画精度で形成できる。従って本発明に
よれば、位相シフターパタンを、下地に対するダメージ
なく、しかも高精度に形成できる。
【図面の簡単な説明】
【図1】本発明の第1実施例によるパターン形成方法を
説明するための模式図。
【図2】本発明の第2実施例によるパターン形成方法を
説明するための模式図。
【図3】本発明の第3実施例によるパターン形成方法を
説明するための模式図。
【図4】本発明の第4実施例によるパターン形成方法を
説明するための模式図。
【図5】本発明の第5実施例によるパターン形成方法を
説明するための模式図。
【図6】本発明の第6実施例によるパターン形成方法を
説明するための模式図。
【図7】本発明の第7実施例によるパターン形成方法を
説明するための模式図。
【図8】本発明の第8実施例によるパターン形成方法を
説明するための模式図。
フロントページの続き (56)参考文献 特開 平4−37121(JP,A) 特開 平3−274268(JP,A) 特開 昭57−41640(JP,A) Booree et al,Al P hotodeposition and Light Induced Nuc leation on p−type Si from TMA,Mat,Re s.Soc.Symp.Proc., 1989年,Vol.129,p.251−257 H.Sugawara and K. Ueda,Epitaxial Gro wth of Aluminum Fi lms on Hydrogen−Me diated Si(100)Surfa ce,Jpn.J.Appl.Phy s.,日本,1994年 6月15日,Vo l.33,Part2,No.6B,p p.L837−L839 (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 G03F 1/08 H01L 21/285 JICSTファイル(JOIS)

Claims (29)

    (57)【特許請求の範囲】
  1. 【請求項1】 半導体基体表面に、水素原子を付与する
    表面処理を行う工程、 該半導体基体表面の所望領域に、そこに付与された該水
    素原子を脱離させるためのエネルギー線を、照射する工
    程、 該エネルギー線が照射されずに水素原子が付与されてい
    る該半導体基体表面の非照射領域上に、選択的に金属を
    堆積し、金属膜を形成する工程、 該金属膜をマスクとして、該半導体基体を、エッチング
    する工程、 を含むことを特徴とするパターン形成方法。
  2. 【請求項2】 絶縁性表面を有する基体上に、半導体膜
    を形成する工程、 該半導体膜の表面に、水素原子を付与する表面処理を行
    う工程、 該半導体膜の表面の所望領域に、そこに付与された該水
    素原子を脱離させるためのエネルギー線を照射する工
    程、 該エネルギー線が照射されずに水素原子が付与されてい
    る該半導体膜の表面の非照射領域上に、選択的に金属を
    堆積し、金属膜を形成する工程、 該金属膜をマスクとして、該半導体膜と該絶縁性表面と
    をエッチングする工程、 を含むことを特徴とするパターン形成方法。
  3. 【請求項3】 透光性基体上に、遮光性薄膜を形成する
    工程、 該遮光性薄膜の表面に、水素原子を付与する表面処理を
    行なう工程、 該遮光性薄膜の表面の所望領域に、そこに付与された該
    水素原子を脱離させるためのエネルギー線を照射する工
    程、 該エネルギー線が照射されずに水素原子が付与されてい
    る該遮光性薄膜の表面の非照射領域上に、選択的に金属
    を堆積し、金属膜を形成する工程、 該金属膜をマスクとして、該遮光性薄膜をエッチングす
    る工程、 を含むことを特徴とするパターン形成方法。
  4. 【請求項4】前記表面処理は、フッ酸を用いて行う請求
    項1乃至請求項3のいずれか一項に記載のパターン形成
    方法。
  5. 【請求項5】 前記エネルギー線は、電子ビーム又はイ
    オンビーム或は紫外光である請求項1乃至請求項3のい
    ずれか一項に記載のパターン形成方法。
  6. 【請求項6】 前記金属の堆積は、CVD法を利用して
    行う請求項1乃至請求項3のいずれか一項に記載のパタ
    ーン形成方法。
  7. 【請求項7】 前記金属の堆積は、有機金属を原料とす
    るCVD法によりなされる請求項1乃至請求項3のいず
    れか一項に記載のパターン形成方法。
  8. 【請求項8】 前記金属の堆積は、アルキルアルミニウ
    ムハイドライドを利用したCVD法によりなされる請求
    項1乃至請求項3のいずれか一項に記載のパターン形成
    方法。
  9. 【請求項9】 前記エッチングは、異方性エッチングで
    ある請求項1乃至請求項3のいずれか一項に記載のパタ
    ーン形成方法。
  10. 【請求項10】 前記金属の堆積は、ジメチルアルミニ
    ウムハイドライドを用いたCVD法によりなされる請求
    項1乃至請求項3のいずれか一項に記載のパターン形成
    方法。
  11. 【請求項11】 更に、前記エッチングの後に、前記金
    属膜を除去する請求項1乃至請求項3のいずれか一項に
    記載のパターン形成方法。
  12. 【請求項12】 前記表面処理は、フッ素原子を含むガ
    ス又はフッ化水素水溶液を用いた洗浄工程と、純水洗浄
    工程と、乾燥工程とを含む請求項1乃至請求項3のいず
    れか一項に記載のパターン形成方法。
  13. 【請求項13】 前記遮光性薄膜は、シリコンを主成分
    とする請求項3に記載のパターン形成方法。
  14. 【請求項14】 前記遮光性薄膜は、複数の膜からなる
    請求項3に記載のパターン形成方法。
  15. 【請求項15】 前記遮光性薄膜は、複数の膜からなり
    最上部の膜が、シリコンを主成分とする請求項3に記載
    のパターン形成方法。
  16. 【請求項16】 請求項1に記載のパターン形成方法を
    用いて半導体装置を作製することを特徴とする半導体装
    置の作製方法。
  17. 【請求項17】 請求項2に記載のパターン形成方法を
    用いて半導体装置を作製することを特徴とする半導体装
    置の作製方法。
  18. 【請求項18】 請求項3に記載のパターン形成方法を
    用いてフォトマスクを作製することを特徴とするフォト
    マスクの作製方法。
  19. 【請求項19】 基体表面に水素原子を付与する表面処
    理を行い、所望の領域にエネルギー線を選択的に照射
    し、前記領域以外の非照射領域上に選択的に薄膜を形成
    し、前記薄膜を化学変化させて光透過性薄膜とすること
    を特徴とするフォトマスクの作製方法。
  20. 【請求項20】 前記表面処理は、フッ酸を用いて行う
    請求項19に記載のフォトマスクの作製方法。
  21. 【請求項21】 前記エネルギー線は、電子ビームまた
    はイオンビームである請求項19に記載のフォトマスク
    の作製方法。
  22. 【請求項22】 前記薄膜は、A1である請求項19に
    記載のフォトマスクの作製方法。
  23. 【請求項23】 前記基体は、表面に遮光膜を形成した
    光透過性基体である請求項19に記載のフォトマスクの
    作製方法。
  24. 【請求項24】 前記薄膜の形成は、化学気相堆積法を
    利用して行う請求項19記載のフォトマスクの作製方
    法。
  25. 【請求項25】 前記化学気相堆積法は、有機金属を原
    料とする請求項24記載のフォトマスクの作製方法。
  26. 【請求項26】 前記有機金属は、アルキルアルミニウ
    ムハイドライドである請求項25記載のフォトマスクの
    作製方法。
  27. 【請求項27】 前記アルキルアルミニウムハイドライ
    ドは、ジメチルアルミニウムハイドライドである請求項
    26記載のフォトマスクの作製方法。
  28. 【請求項28】 前記化学変化が、酸化である請求項1
    9に記載のフォトマスクの作製方法。
  29. 【請求項29】 前記光透過性薄膜が、酸化アルミニウ
    ムである請求項19に記載のフォトマスクの作製方法。
JP20493892A 1992-07-31 1992-07-31 パターン形成方法 Expired - Fee Related JP3334911B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP20493892A JP3334911B2 (ja) 1992-07-31 1992-07-31 パターン形成方法
TW082105763A TW238363B (ja) 1992-07-31 1993-07-20
AT93112146T ATE185430T1 (de) 1992-07-31 1993-07-29 Verfahren zur herstellung von mustern
EP93112146A EP0581280B1 (en) 1992-07-31 1993-07-29 Pattern forming method
DE69326651T DE69326651T2 (de) 1992-07-31 1993-07-29 Verfahren zur Herstellung von Mustern
KR93014801A KR0137124B1 (en) 1992-07-31 1993-07-31 Pattern forming method
US08/662,200 US5861233A (en) 1992-07-31 1996-06-11 Pattern forming method by imparting hydrogen atoms and selectively depositing metal film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP20493892A JP3334911B2 (ja) 1992-07-31 1992-07-31 パターン形成方法

Publications (2)

Publication Number Publication Date
JPH0653188A JPH0653188A (ja) 1994-02-25
JP3334911B2 true JP3334911B2 (ja) 2002-10-15

Family

ID=16498841

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20493892A Expired - Fee Related JP3334911B2 (ja) 1992-07-31 1992-07-31 パターン形成方法

Country Status (7)

Country Link
US (1) US5861233A (ja)
EP (1) EP0581280B1 (ja)
JP (1) JP3334911B2 (ja)
KR (1) KR0137124B1 (ja)
AT (1) ATE185430T1 (ja)
DE (1) DE69326651T2 (ja)
TW (1) TW238363B (ja)

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09205070A (ja) * 1996-01-25 1997-08-05 Sony Corp プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
FR2757881B1 (fr) * 1996-12-31 1999-04-09 Univ Paris Curie Procede de traitement d'une surface d'un semi-conducteur, dispositif correspondant et semi-conducteur associe
US6153452A (en) * 1997-01-07 2000-11-28 Lucent Technologies Inc. Method of manufacturing semiconductor devices having improved polycide integrity through introduction of a silicon layer within the polycide structure
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6491835B1 (en) 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
FR2811316B1 (fr) * 2000-07-06 2003-01-10 Saint Gobain Substrat texture transparent et procedes pour l'obtenir
US6664030B1 (en) * 2000-11-17 2003-12-16 Advanced Micro Devices, Inc. System for and method of constructing an alternating phase-shifting mask
US6589717B1 (en) * 2000-11-17 2003-07-08 Advanced Micro Devices, Inc. Photon assisted deposition of hard mask formation for use in manufacture of both devices and masks
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
US6534224B2 (en) 2001-01-30 2003-03-18 Advanced Micro Devices, Inc. Phase shift mask and system and method for making the same
US6524170B2 (en) * 2001-03-19 2003-02-25 Brookhaven Science Associates, Llc Method of surface preparation of niobium
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US6749971B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with chrome border around phase 180 regions
US6797438B1 (en) 2001-12-11 2004-09-28 Advanced Micro Devices, Inc. Method and enhancing clear field phase shift masks with border around edges of phase regions
US6675369B1 (en) 2001-12-11 2004-01-06 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks by adding parallel line to phase 0 region
US6749970B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
EP3150364B1 (en) * 2015-09-29 2018-11-14 Essilor International Method for manufacturing an optical device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102631150B1 (ko) * 2017-05-15 2024-01-29 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및에칭
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11756790B2 (en) 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3776770A (en) * 1971-10-08 1973-12-04 Western Electric Co Method of selectively depositing a metal on a surface of a substrate
GB2066487B (en) * 1979-12-18 1983-11-23 Philips Electronic Associated Alignment of exposure masks
JPS60138918A (ja) * 1983-12-27 1985-07-23 Toshiba Corp 半導体装置の製造方法
JPS61286847A (ja) * 1985-06-14 1986-12-17 Nippon Telegr & Teleph Corp <Ntt> パタ−ン状有機薄膜及びその形成方法
US4983537A (en) * 1986-12-29 1991-01-08 General Electric Company Method of making a buried oxide field isolation structure
IL88837A (en) * 1988-12-30 1993-08-18 Technion Res & Dev Foundation Method for the preparation of mask for x-ray lithography
US5051326A (en) * 1989-05-26 1991-09-24 At&T Bell Laboratories X-Ray lithography mask and devices made therewith
PT95232B (pt) * 1989-09-09 1998-06-30 Canon Kk Processo de producao de uma pelicula de aluminio depositada
JP2831770B2 (ja) * 1989-09-09 1998-12-02 キヤノン株式会社 堆積膜形成法
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
JPH0437067A (ja) * 1990-05-31 1992-02-07 Canon Inc 半導体素子用電極及び該電極を有する半導体装置及びその製造方法
JP2974376B2 (ja) * 1990-06-01 1999-11-10 キヤノン株式会社 半導体装置の製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Booree et al,Al Photodeposition and Light Induced Nucleation on p−type Si from TMA,Mat,Res.Soc.Symp.Proc.,1989年,Vol.129,p.251−257
H.Sugawara and K.Ueda,Epitaxial Growth of Aluminum Films on Hydrogen−Mediated Si(100)Surface,Jpn.J.Appl.Phys.,日本,1994年 6月15日,Vol.33,Part2,No.6B,pp.L837−L839

Also Published As

Publication number Publication date
US5861233A (en) 1999-01-19
TW238363B (ja) 1995-01-11
KR0137124B1 (en) 1998-04-29
EP0581280B1 (en) 1999-10-06
JPH0653188A (ja) 1994-02-25
DE69326651T2 (de) 2000-04-20
ATE185430T1 (de) 1999-10-15
KR940006196A (ko) 1994-03-23
EP0581280A2 (en) 1994-02-02
DE69326651D1 (de) 1999-11-11
EP0581280A3 (en) 1995-12-13

Similar Documents

Publication Publication Date Title
JP3334911B2 (ja) パターン形成方法
KR100362324B1 (ko) X선 마스크의 응력 조정 방법
US4919749A (en) Method for making high resolution silicon shadow masks
KR101821304B1 (ko) 멀티-패터닝 애플리케이션들을 위한 광학적으로 튜닝된 하드마스크
US20220367186A1 (en) Patterning scheme to improve euv resist and hard mask selectivity
US5472812A (en) Method for forming a photomask pattern
US4321104A (en) Photoetching method
US6861367B2 (en) Semiconductor processing method using photoresist and an antireflective coating
US6989219B2 (en) Hardmask/barrier layer for dry etching chrome films and improving post develop resist profiles on photomasks
CN106449378B (zh) 一种改善高深宽比光刻胶形貌的结构和方法
JPH0466345B2 (ja)
JPH0629968B2 (ja) パタ−ン形成法
US7867693B1 (en) Methods for forming device structures on a wafer
JP3258199B2 (ja) 半導体装置のパターン形成方法
JPH10189731A (ja) コンタクトホール形成方法
JPH07321091A (ja) エッチング方法及び配線形成方法
KR100262532B1 (ko) 반도체 소자의 폴리실리콘 패턴 형성 방법
KR100318272B1 (ko) 반도체 소자의 미세 패턴 형성방법
KR20040095731A (ko) 하전 입자선 노광용 마스크 및 그 제조 방법
KR0184939B1 (ko) 반도체 소자의 본딩패드 형성방법
JPH07321100A (ja) 高密度v型溝を有するガリウム砒素基板の製造方法
JPH11176807A (ja) 半導体装置の製造方法
JPH05121312A (ja) パタン形成法
JPH0247848B2 (ja)
Kruger et al. Trilayer resist

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020716

LAPS Cancellation because of no payment of annual fees