JPS6074626A - ウエハー処理方法及び装置 - Google Patents

ウエハー処理方法及び装置

Info

Publication number
JPS6074626A
JPS6074626A JP58182009A JP18200983A JPS6074626A JP S6074626 A JPS6074626 A JP S6074626A JP 58182009 A JP58182009 A JP 58182009A JP 18200983 A JP18200983 A JP 18200983A JP S6074626 A JPS6074626 A JP S6074626A
Authority
JP
Japan
Prior art keywords
gas
wafer
plasma processing
ejected
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP58182009A
Other languages
English (en)
Other versions
JPH0358530B2 (ja
Inventor
Toshimasa Kisa
木佐 俊正
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP58182009A priority Critical patent/JPS6074626A/ja
Priority to KR1019840005891A priority patent/KR890004571B1/ko
Priority to EP84401911A priority patent/EP0140755B1/en
Priority to DE8484401911T priority patent/DE3485109D1/de
Priority to US06/654,939 priority patent/US4738748A/en
Publication of JPS6074626A publication Critical patent/JPS6074626A/ja
Publication of JPH0358530B2 publication Critical patent/JPH0358530B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 fi1発明の技術分野 本発明はプラズマ処理装置、詳しくはプラズマ(2) 処理すなわちアッシングまたはエツチング処理を行うプ
ラズマ処理装置の改良に関する。
(2)技術の背景 プラズマ処理は活性化された処理用ガスを用いて例えば
パターン形成におけるエツチングなどを行う方法で高い
精度を要求する半導体装置製造にとって重要な技術であ
る。
プラズマ処理は、また上記エツチング処理のほかにアッ
シング処理にも用いられる。アッシング処理は第1図を
参照して説明すると、ウェハ1上に例えばレジストを塗
布し、次いで露光処理によってレジストパターン2を形
成した後、これを用いて、エツチングなどを行なった後
不要となったレジスト2を除去する処理である。
プラズマ処理によるエツチングまたはアッシングは、第
2図に示す如く多数のウェハ1を直立してウェハキャリ
ア3に搭載し、これを第3図に簡略化して示すように処
理室(真空チャンバ)4内に配設し、次いで所望の温度
、圧力における処理用ガス(アッシングの場合は酸素(
02)−、エラ(3) チングの場合は四フッ化炭素(Ch)または四塩化炭素
(Cα1)等)のプラズマ雰囲気を形成して処理を行う
(3)従来技術と問題点 従来、前記プラズマ雰囲気の形成は、例えばアッシング
装置においてはfil活性化されたガスをウェハ上に導
く方式と(2)プラズマ中にウェハを直接配置する方式
のいずれかによっていた。ところが、上記fi+の方式
においては、一枚当りの処理時間が長くアッシング速度
が遅いこと、またアッシング結果がウェハ上で均一でな
いという欠点がある。
他方(2)の方式では(11の場合と同じく処理がウェ
ハで均一でないのに加えて、ウェハ間にバラツキがある
欠点、およびプラズマ中に混入している不純物がウェハ
を衝撃してウェハが汚染される問題がある。なお前記従
来技術の問題点はエツチング装置についても同様である
(4)発明の目的 本発明は上記従来の問題点に鑑み、アッシングまたはエ
ツチング速度が大きく、かつウェハ全面(4) にわたり処理が均一であり、また簡易にウェハのアッシ
ングまたはエツチングを行なえるプラズマ処理装置の提
供を目的とする。
(5)発明の構成 そしてこの目的は本発明によれば、活性化されたガスを
用いてプラズマ処理を行うチャンバ内に前記ガスの吹出
し口を配設し、当該ガス吹出し口から活性化されたガス
を噴出させるとともに、前記噴出されたガスGこより試
料を浮上させながらプラズマ処理を行うことを特徴とす
るプラズマ処理装置を提供することによって達成される
(6)発明の実施例 以下本発明実施例をアッシングを例に図面により詳説す
る。
本)頭の発明省は真空中に微小な口からガスを噴出し、
その上に試料例えばウェハを置くと浮上すること、およ
び上記ガス吹出し口が形成されている面とウェハとの間
に均一なガスの層流が形成されることを利用して以下に
示す半導体製造(プラズマ処理)装置を提供する。
(5) 第4図は本発明の第1の実施例を説明するためのプラズ
マ処理装置の構成図で、同図においてII ’は真空チ
ャンバ、12はプラズマ発生部、13はプラズマガス吹
出し口、14は試料例えばウェハ1を保持するガイド、
15は処理基板、16は排気口、17は排気装置を示す
かかる構成のプラズマ処理装置であるから、プラズマ発
生部17より供給される酸素プラズマガスは図中矢印で
示す如く処理基板15の内部に設けられた空洞15aに
導かれた後、当該空洞15aの上面15bと処理基板1
5のガス吹出し面15cとを貫通して結ぶ細いガス吹出
し口13を通って噴出する。そしてウェハ1を集積回路
などの微細パターンが形成される面を上記ガス吹出し面
15cに対向させて(反転して)配置すると、ウェハ1
は噴出するガスの圧力によってわずかに浮上し、処理基
板15の縁に設けられたガイド14によってガス吹出し
面15c上に保持される。なおウェハの配置は通常の技
術で容易に自動化できる。
他方、噴出したガスは図に矢印で示す如く、つ(6) エバ1の表面をなでるように均一な層流となってウェハ
周辺部方向に流れ、ウェハ1とガイド14との間からチ
ャンバ11内へ拡散する。その後は排気装置17によっ
て排気口16から排気される。なおチャンバ11内の酸
素プラズマガスの圧力は約I Torrとする。
本願の発明者は上述した装置によれば、従来ウェハ1枚
当り1分はど要したアッシング時間が半分の30秒に短
縮されることを確認した。かくして高速で均一なアッシ
ングが実現される。また本発明の装置によれば、ウェハ
の裏面に付着したレジストなどの膜も同時にアッシング
できる利点があり、後の処理におけるゴミの発生などが
防止できる。またガスはガス吹出し口13からウェハ表
面へ直接噴出されて有効に使用されるため、従来に比べ
使用するガスの量を少なくすることができる。
ところで以上のことはエツチングにおいても同様である
が、その場合には処理用ガスとして四フッ化炭素ガスも
しくは四塩化炭素ガス等を用い、圧力は0.3 Tor
rとする。
(7) 第5図は本発明の第2の実施例を説明するためのプラズ
マ処理装置の構成図で、同図において第4図と同じ部分
は同じ符号を付して示す。
この装置は処理基板15に設けられたガス吹出し穴13
の周囲にヒータ18を配設し、ががるヒータ18を温度
コントローラー19を設けて制御する。
かかる構成によりガス吹出し口13を加熱し、プラズマ
ガスの熱伝導によって試料例えばウェハ1を所望の温度
まで加熱することができ、また加熱温度は温度コントロ
ーラー19によって制御できるため、反応速度の向上、
処理時間の短縮、ガスの効率的な使用が実現される。
なお上述した加熱しながら処理を行う本実施例はアッシ
ングにおいて効果があり、この場合ウェハの加熱温度は
150℃程度とする。
第6図は本発明の第3の実施例を説明するためのプラズ
マ処理装置要部の図で、同図を参照すると、処理基板1
5に配設されているガス吹出し口21を傾斜して形成し
、図中に矢印で示す如くガスを前方斜め上方向に噴出す
るようにする。
(8) かくして、試料すなわちウェハ1は上記斜め上方向に噴
出するガスにより浮上し、かつ前方に押し出されること
になり、ウェハを搬送しながらアッシングまたはエツチ
ング処理ができる。従ってウェハのIM送機構(例えば
エアベアリング)を併用することにより連続した処理が
行なえる利点がある。
第7図は本発明の第4の実施例を説明するための処理基
板23の平面図で、同図を参照すると、例えば処理基板
23を円形に形成し、その周囲にガイド24を設ける。
そしてガイド24内の試料例えばウェハを配置する部分
(破線25の内部)には第6図に示す前方に傾斜したガ
ス吹出し口を処理基板23と中心を同じくする同心円の
円周上に配設する。
この実施例では大小二つの同心円の円周上に、それぞれ
ガス吹出し口22aおよび22bを等間隔でしかもガス
が図中矢印で示す円の接線方向かつ斜め上方に噴出する
ように配設する。か(することによりウェハは処理中噴
出するガスにより浮上するとともに噴出方向口りに回転
するため、アラシン(9) グまたはエツチング処理をより均一にすることができる
第6図と第7図に示す実施例は、加熱装置を併置した場
合と併置しない場合の双方に適用可能である。
f7)発明の効果 以上詳細に説明した如く本発明の半導体製造装置によれ
ば、従来に比べて少ないガス量で高速かつ均一なプラズ
マ処理すなわちアッシングまたはエツチング処理ができ
るため、半導体装置生産における処理効率の向上および
コスト低減に効果があるだけでなく半導体装置の信頼性
向上に効果大である。
【図面の簡単な説明】
第1図レジストパターンを形成したウェハの側面図、第
2図はウェハを搭載したキャリアの斜視図、第3図は上
記キャリアを配置した真空チャンバの概略図、第4図お
よび第5図は本発明実施例を説明するためのプラズマ処
理装置の構成図、第6図および第7図は本発明実施例を
説明するため(10) のガス吹出し口の配置を示す図である。 11− ウェハ、3−キャリア、4.11−真空チャン
バ、12−プラズマ発生部、13.2L22a、22b
 −−−ガス吹出し口、14.24−m−ガイド、15
.23−処理基板、16−排気口、17−・−排気装置
、18−・ヒータ、19一温度コントローラー (11) 第1図 第2図 第3図 第6図 第7図 2b 手続補正書伯、) 昭和 年 月 日 59、10.15 1、事件の表示 昭和夕3年特許願第1’1j200′/号3、補正をす
る者 事件との関係 特許出願人 住所 神奈川県用崎市中原区上小田中1015番地(5
22)名称富士通株式会社 4、代 理 人 住所 神奈川県川崎市中原区上小田中
1015番地富士通株式会社内 昭和 年 月 日なし 6、補正により増加する発明の数 なしl)明細書第1
頁第3行の発明の名称を以下の様に補正する。 「ウェハー処理方法及び装置」 り 2)明細書第1頁第4行乃至第2頁第17行の特装置。 特許請求の範囲第6項記載のウェハー処理装置。 エバーな浮上させ、かつ回転させながら処理を行うこと
を特徴とする特許請求の範囲第6項記載のウェハー処理
装置。 (9)前記反応ガスはプラズマにより活性化された3)
明細書第2頁第20行乃至第3頁第2行を以下の様に補
正する。 [本発明はウェハー処理方法及び装置、詳しくは反応ガ
スによりウェハーを浮上させた状態でエツチング又はア
ッシング処理を行なうウェハー処理方法及び装置に関す
る0」 4)明細書第10頁第13行を以下の様に補正する。 「である。尚、上記実施例ではガスプラズマによる処理
を例にあげたが、本発明はガスプラズマを用いない反応
ガスによる処理にも適用できることはいうまでもない。 」

Claims (1)

  1. 【特許請求の範囲】 +11活性化されたガスを用いてプラズマ処理を行うチ
    ャンバ内に前記ガスの吹出し口を配設し、当該ガス吹出
    し口から活性化されたガスを噴出させるとともに、前記
    噴出されたガスにより試料を浮上させながらプラズマ処
    理を行うことを特徴とするプラズマ処理装置。 (2)上記ガス吹出し口からガスを斜め上方に噴出させ
    、当該噴出ガスにより試料を浮上させ、かつ搬送しなが
    らプラズマ処理を行うことを特徴とする特許請求の範囲
    第1項記載のプラズマ処理装置。 (3)該ガス吹出し口を円の円周上に配設し、上記ガス
    吹出し口からガスを前記円周の接線方向斜め上方に噴出
    し、当該噴出ガスによって試料を浮上させ、かつ回転さ
    せながらプラズマ処理を行うことを特徴とする特許請求
    の範囲第1項記載のプラズマ処理装置 (1) (4)活性化されたガスを用いてプラズマ処理を行う半
    導体製造装置の真空チャンバ内に上記ガスの吹出し口を
    配設し、該吹出し口を加熱する手段および当該加熱手段
    の制御装置を具備し、前記加熱手段によりガス吹出し口
    を加熱するとともに噴出ガスによって試料を浮上させな
    がらアッシングを行うことを特徴とするプラズマ処理装
    置。 (5)該ガス吹出し口からガスを斜め上方に噴出させ、
    当該噴出ガスによりウェハを浮上させ、かつ搬送しなが
    らアッシングすることを特徴とする特許請求の範囲第4
    項記載のプラズマ処理装置。 (6)上記ガス吹出し口を円の円周上に配設し、このガ
    ス吹出し口からガスを上記円周の接線方向斜め上方に噴
    出し、当該噴出ガスによってウェハを浮上させ、かつ回
    転させながらアッシングを行うことを特徴とする特許請
    求の範囲第4項記載のプラズマ処理装置。
JP58182009A 1983-09-30 1983-09-30 ウエハー処理方法及び装置 Granted JPS6074626A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP58182009A JPS6074626A (ja) 1983-09-30 1983-09-30 ウエハー処理方法及び装置
KR1019840005891A KR890004571B1 (ko) 1983-09-30 1984-09-25 Ic 제조를 위한 플라스마 처리장치
EP84401911A EP0140755B1 (en) 1983-09-30 1984-09-26 A plasma processor for ic fabrication
DE8484401911T DE3485109D1 (de) 1983-09-30 1984-09-26 Plasmakontrollgeraet fuer die herstellung von ic.
US06/654,939 US4738748A (en) 1983-09-30 1984-09-27 Plasma processor and method for IC fabrication

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP58182009A JPS6074626A (ja) 1983-09-30 1983-09-30 ウエハー処理方法及び装置

Publications (2)

Publication Number Publication Date
JPS6074626A true JPS6074626A (ja) 1985-04-26
JPH0358530B2 JPH0358530B2 (ja) 1991-09-05

Family

ID=16110724

Family Applications (1)

Application Number Title Priority Date Filing Date
JP58182009A Granted JPS6074626A (ja) 1983-09-30 1983-09-30 ウエハー処理方法及び装置

Country Status (5)

Country Link
US (1) US4738748A (ja)
EP (1) EP0140755B1 (ja)
JP (1) JPS6074626A (ja)
KR (1) KR890004571B1 (ja)
DE (1) DE3485109D1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008199010A (ja) * 2007-01-30 2008-08-28 Applied Materials Inc ウェハ正面側ガスパージを用いたウェハ背面重合体除去方法
JP2008227466A (ja) * 2007-01-30 2008-09-25 Applied Materials Inc ウェハ背面重合体除去方法及びウェハ正面側捕捉プラズマ
US7837799B2 (en) 2003-04-30 2010-11-23 Applied Materials Gmbh & Co. Kg Arrangement for transporting a flat substrate in a vacuum chamber
JP2011056335A (ja) * 2009-09-07 2011-03-24 Toray Eng Co Ltd 予備乾燥装置及び予備乾燥方法
JP2013215720A (ja) * 2012-03-12 2013-10-24 Air Water Inc 粉体処理装置および粉体処理方法

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4615755A (en) * 1985-08-07 1986-10-07 The Perkin-Elmer Corporation Wafer cooling and temperature control for a plasma etching system
NL8601824A (nl) * 1986-07-11 1988-02-01 Hauzer Holding Werkwijze en inrichting voor het met een geleidend plasmakanaal ontsteken van een boog.
NL8703024A (nl) * 1986-12-18 1988-07-18 De Beers Ind Diamond Werkwijze voor het bepalen van een stralingsdosis alsmede inrichting voor het uitvoeren van de werkwijze.
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
EP0300224B2 (en) * 1987-06-26 1998-09-30 Yuzo Mori Strainless precision after-treatment process by radical reaction
AT389959B (de) * 1987-11-09 1990-02-26 Sez Semiconduct Equip Zubehoer Vorrichtung zum aetzen von scheibenfoermigen gegenstaenden, insbesondere von siliziumscheiben
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US4869777A (en) * 1988-12-16 1989-09-26 Ibm Corporation Method for selectively etching the materials of a composite of two materials
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5075256A (en) * 1989-08-25 1991-12-24 Applied Materials, Inc. Process for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
USH1145H (en) 1990-09-25 1993-03-02 Sematech, Inc. Rapid temperature response wafer chuck
JPH06251896A (ja) * 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
US5346601A (en) * 1993-05-11 1994-09-13 Andrew Barada Sputter coating collimator with integral reactive gas distribution
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
DE19505906A1 (de) * 1995-02-21 1996-08-22 Siemens Ag Verfahren zum Damage-Ätzen der Rückseite einer Halbleiterscheibe bei geschützter Scheibenvorderseite
WO1996032742A1 (fr) * 1995-04-11 1996-10-17 Zakrytoe Aktsionernoe Obschestvo Nauchno-Proizvodstvennaya Firma 'az' Installation destinee au traitement par flux plasmiques de plaques
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
WO1998058731A2 (en) * 1997-06-20 1998-12-30 Flowgenix Corporation Apparatus for exposing substrates to gas-phase radicals
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6105435A (en) * 1997-10-24 2000-08-22 Cypress Semiconductor Corp. Circuit and apparatus for verifying a chamber seal, and method of depositing a material onto a substrate using the same
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6336775B1 (en) * 1998-08-20 2002-01-08 Matsushita Electric Industrial Co., Ltd. Gas floating apparatus, gas floating-transporting apparatus, and thermal treatment apparatus
NL1011487C2 (nl) 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
US6569775B1 (en) 1999-03-30 2003-05-27 Applied Materials, Inc. Method for enhancing plasma processing performance
NL1012004C2 (nl) 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
US6322116B1 (en) 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP2003531488A (ja) * 2000-04-13 2003-10-21 ナノフオトニクス・アクチエンゲゼルシヤフト モジュール式基板測定システム
US6644964B2 (en) * 2000-06-20 2003-11-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6399510B1 (en) * 2000-09-12 2002-06-04 Applied Materials, Inc. Bi-directional processing chamber and method for bi-directional processing of semiconductor substrates
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
FI111939B (fi) * 2000-12-05 2003-10-15 Liekki Oy Menetelmä ja laitteisto lasipinnoitteen valmistamiseksi
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
DE10157703B4 (de) * 2001-11-24 2004-05-06 Weidenmüller, Ralf Vorrichtung zum gleichzeitigen Fördern und Temperieren von Formteilen
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7104578B2 (en) * 2002-03-15 2006-09-12 Asm International N.V. Two level end effector
US6843201B2 (en) * 2002-05-08 2005-01-18 Asm International Nv Temperature control for single substrate semiconductor processing reactor
US7427329B2 (en) * 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6788991B2 (en) 2002-10-09 2004-09-07 Asm International N.V. Devices and methods for detecting orientation and shape of an object
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
KR100653687B1 (ko) * 2003-11-04 2006-12-04 삼성전자주식회사 반도체기판들을 건조시키는 장비들 및 이를 사용하여반도체기판들을 건조시키는 방법들
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
JP4396847B2 (ja) * 2004-12-22 2010-01-13 Smc株式会社 除電装置付きエア浮上装置及び該浮上装置における除電方法
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US8551290B2 (en) * 2006-01-31 2013-10-08 Perfect Dynasty Taiwan Ltd. Apparatus for substrate processing with fluid
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7615061B2 (en) * 2006-02-28 2009-11-10 Arthrocare Corporation Bone anchor suture-loading system, method and apparatus
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080179290A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Temperature-switched process for wafer backside polymer removal and front side photoresist strip
US7967996B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8057601B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
TW201101414A (en) * 2009-04-24 2011-01-01 Applied Materials Inc Substrate support having side gas outlets and methods
WO2012119034A2 (en) * 2011-03-02 2012-09-07 Game Changers, Llc Method and apparatus for a dynamic air cushion transport system
US20120225206A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
IL234727B (en) 2013-09-20 2020-09-30 Asml Netherlands Bv A light source operated by a laser in an optical system corrected for deviations and the method of manufacturing the system as mentioned
IL234729B (en) 2013-09-20 2021-02-28 Asml Netherlands Bv A light source operated by a laser and a method using a mode mixer
JP6282080B2 (ja) * 2013-10-30 2018-02-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
GB201402126D0 (en) * 2014-02-07 2014-03-26 Spts Technologies Ltd Method of processing a substrate
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10186416B2 (en) 2014-05-15 2019-01-22 Excelitas Technologies Corp. Apparatus and a method for operating a variable pressure sealed beam lamp
JP6707467B2 (ja) 2014-05-15 2020-06-10 エクセリタス テクノロジーズ コーポレイション レーザ駆動シールドビームランプ
US9741553B2 (en) 2014-05-15 2017-08-22 Excelitas Technologies Corp. Elliptical and dual parabolic laser driven sealed beam lamps
JP6356516B2 (ja) * 2014-07-22 2018-07-11 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10008378B2 (en) 2015-05-14 2018-06-26 Excelitas Technologies Corp. Laser driven sealed beam lamp with improved stability
US10057973B2 (en) 2015-05-14 2018-08-21 Excelitas Technologies Corp. Electrodeless single low power CW laser driven plasma lamp
US9576785B2 (en) 2015-05-14 2017-02-21 Excelitas Technologies Corp. Electrodeless single CW laser driven xenon lamp
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN108701639B (zh) * 2016-03-10 2022-09-16 三菱电机株式会社 基板吸附台、基板处理装置、基板处理方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106403605B (zh) * 2016-11-17 2018-09-14 绥阳县华夏陶瓷有限责任公司 瓷砖烧结装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US10109473B1 (en) 2018-01-26 2018-10-23 Excelitas Technologies Corp. Mechanically sealed tube for laser sustained plasma lamp and production method for same
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN111135730B (zh) * 2020-01-06 2022-04-12 常州费曼生物科技有限公司 一种药液过滤器滤膜的制备工艺及药液过滤器滤膜
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7437187B2 (ja) * 2020-02-26 2024-02-22 Jswアクティナシステム株式会社 浮上搬送装置、及びレーザ処理装置
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2023531102A (ja) * 2020-06-22 2023-07-20 ラム リサーチ コーポレーション フォトレジストの乾式裏面及びベベルエッジ洗浄
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58153335A (ja) * 1982-02-16 1983-09-12 イ−トン・コ−ポレ−シヨン ウエ−ハ支持装置及びその操作方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3645581A (en) * 1968-11-26 1972-02-29 Ind Modular Systems Corp Apparatus and method for handling and treating articles
JPS53121469A (en) * 1977-03-31 1978-10-23 Toshiba Corp Gas etching unit
JPS5420586A (en) * 1977-07-15 1979-02-16 Hitachi Ltd Air bearing
JPS5420584A (en) * 1977-07-15 1979-02-16 Hitachi Ltd Air bearing type conveying apparatus
US4348139A (en) * 1980-04-30 1982-09-07 International Business Machines Corp. Gas film wafer transportation system
JPS5740931A (en) * 1980-08-25 1982-03-06 Fujitsu Ltd Plasma processing device
US4380488A (en) * 1980-10-14 1983-04-19 Branson International Plasma Corporation Process and gas mixture for etching aluminum
JPS57111031A (en) * 1980-12-27 1982-07-10 Clarion Co Ltd Sputtering device
JPS58204537A (ja) * 1982-05-24 1983-11-29 Hitachi Ltd プラズマエツチング方法
JPS58207217A (ja) * 1982-05-28 1983-12-02 Fujitsu Ltd 真空中に於ける物体の移送方法
US4411733A (en) * 1982-06-18 1983-10-25 Bell Telephone Laboratories, Incorporated SPER Device for material working
US4417947A (en) * 1982-07-16 1983-11-29 Signetics Corporation Edge profile control during patterning of silicon by dry etching with CCl4 -O2 mixtures
NL8203318A (nl) * 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
NL8302163A (nl) * 1983-06-16 1985-01-16 Bok Edward Verbeterde proces installatie met "floating" transport van substraten.

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58153335A (ja) * 1982-02-16 1983-09-12 イ−トン・コ−ポレ−シヨン ウエ−ハ支持装置及びその操作方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7837799B2 (en) 2003-04-30 2010-11-23 Applied Materials Gmbh & Co. Kg Arrangement for transporting a flat substrate in a vacuum chamber
JP2008199010A (ja) * 2007-01-30 2008-08-28 Applied Materials Inc ウェハ正面側ガスパージを用いたウェハ背面重合体除去方法
JP2008227466A (ja) * 2007-01-30 2008-09-25 Applied Materials Inc ウェハ背面重合体除去方法及びウェハ正面側捕捉プラズマ
JP2011056335A (ja) * 2009-09-07 2011-03-24 Toray Eng Co Ltd 予備乾燥装置及び予備乾燥方法
JP2013215720A (ja) * 2012-03-12 2013-10-24 Air Water Inc 粉体処理装置および粉体処理方法

Also Published As

Publication number Publication date
KR890004571B1 (ko) 1989-11-15
EP0140755B1 (en) 1991-09-25
JPH0358530B2 (ja) 1991-09-05
DE3485109D1 (de) 1991-10-31
KR850002674A (ko) 1985-05-15
US4738748A (en) 1988-04-19
EP0140755A2 (en) 1985-05-08
EP0140755A3 (en) 1988-01-13

Similar Documents

Publication Publication Date Title
JPS6074626A (ja) ウエハー処理方法及び装置
US4812201A (en) Method of ashing layers, and apparatus for ashing layers
JPH06163467A (ja) エッチング装置
JP3088118B2 (ja) 板状物処理装置および板状物処理方法ならびに半導体装置の製造方法
JPS6221229A (ja) 処理装置
JPS59215718A (ja) 半導体基板の赤外線熱処理装置
JPS59166675A (ja) エツチング装置
JP3359474B2 (ja) 横型熱処理装置
JPS611017A (ja) 半導体基板の熱処理装置
JPH0425122A (ja) 半導体処理装置
JPH02164477A (ja) 基板処理装置
JPH01290290A (ja) 厚膜ペースト焼成方法及び厚膜ペースト焼成装置
JPH0133936B2 (ja)
JP2963145B2 (ja) Cvd膜の形成方法及び形成装置
KR960008894B1 (ko) 애슁(Ashing)방법
JPS6220347A (ja) 処理装置
JPS63260034A (ja) アッシング装置
JPS59172237A (ja) プラズマ処理装置
JPH0423416B2 (ja)
JP2932275B2 (ja) 有機物除去装置
JPS6370429A (ja) アツシング装置
JP3067245B2 (ja) 基板処理装置
JPS61166023A (ja) 半導体ウエハの熱処理方法
JPH0684862A (ja) 洗浄装置
JPH034025Y2 (ja)