JP4131813B2 - プラズマエッチング方法及び半導体装置の作製方法 - Google Patents

プラズマエッチング方法及び半導体装置の作製方法 Download PDF

Info

Publication number
JP4131813B2
JP4131813B2 JP2002310257A JP2002310257A JP4131813B2 JP 4131813 B2 JP4131813 B2 JP 4131813B2 JP 2002310257 A JP2002310257 A JP 2002310257A JP 2002310257 A JP2002310257 A JP 2002310257A JP 4131813 B2 JP4131813 B2 JP 4131813B2
Authority
JP
Japan
Prior art keywords
gas
etching
conductive film
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002310257A
Other languages
English (en)
Other versions
JP2004146617A5 (ja
JP2004146617A (ja
Inventor
悟 岡本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2002310257A priority Critical patent/JP4131813B2/ja
Priority to US10/689,617 priority patent/US20040082186A1/en
Publication of JP2004146617A publication Critical patent/JP2004146617A/ja
Publication of JP2004146617A5 publication Critical patent/JP2004146617A5/ja
Application granted granted Critical
Publication of JP4131813B2 publication Critical patent/JP4131813B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • H01L2029/7863Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile with an LDD consisting of more than one lightly doped zone or having a non-homogeneous dopant distribution, e.g. graded LDD

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、プラズマエッチング装置のクリーニング方法及びプラズマエッチング方法に関し、さらには該プラズマエッチング方法を用いた半導体装置の作製方法に関する。
【0002】
【従来の技術】
半導体素子の微細化によって、該半導体素子を用いた半導体装置の小型化、軽量化、さらには低消費電力化、高速化を推し進めることができる。しかし、半導体素子の1つである薄膜トランジスタ(TFT)の微細化には、ホットキャリア効果による信頼性の低下という問題が伴う。
【0003】
そこで従来から、ホットキャリア効果を抑えるための手段として、LDD(Lightly Doped Drain)構造が採用されている。LDD構造とは、ソース/ドレイン領域とチャネル形成領域との間に、ソース/ドレイン領域よりも不純物濃度の低い領域(LDD領域)を設ける構造である。
【0004】
特にLDD領域がゲート絶縁膜を介してゲート電極と重なる構造(GOLD構造:Gate-drain Overlapped LDD構造)を有している場合、ドレイン近傍の高電界を緩和してホットキャリア効果を効果的に防ぎ、信頼性の向上が図れることが知られている。なお本明細書において、LDD領域がゲート絶縁膜を介してゲート電極と重なる領域をLov領域と呼び、重ならない領域をLoff領域と呼ぶ。
【0005】
LDD領域の作製方法は既に幾つか提案されているが、マスクの数を増やさない作製方法の1つとして、互いに幅の異なる2層のゲート電極を用い、セルフアラインで形成する方法がある。この場合2層のゲート電極は下層と上層でチャネル長方向における幅が異なっており、該ゲート電極は、一般的に条件の異なる2回のエッチング処理によって形成される。
【0006】
図7(A)に、チャネル長方向において幅が異なる2層のゲート電極を備えた、TFTの構成を一例として示す。6001は島状にパターニングされた半導体膜、6002はゲート絶縁膜、6003はゲート電極に相当する。島状の半導体膜6001とゲート電極6003はゲート絶縁膜6002を間に挟んで重なっている。ゲート電極6003は上層6003aと下層6003bで形成されており、互いに異なる材料が用いられている。
【0007】
半導体膜6001は、チャネル形成領域6004と、LDD領域6005と、ソース/ドレイン領域6006とを有しており、LDD領域6005はチャネル形成領域6004とソース/ドレイン領域6006の間に設けられている。
【0008】
また下層のゲート電極6003bのチャネル長方向における幅Wbは、上層のゲート電極6003aのチャネル長方向における幅Waよりも長くなるように、異方性エッチングで形成されている。そしてLDD領域6005は、この上層と下層のゲート電極の幅の差を利用することで形成することが可能である。具体的には、ゲート絶縁膜6002及び下層のゲート電極6003bを通り抜けて不純物が半導体膜に添加されるように、ドーピングの際の加速速度を制御する。上記構成によって、半導体膜6001の、下層のゲート電極6003bと重なっている部分のうち、上層のゲート電極6003aと重なっていない部分に、優先的に不純物を添加させ、LDD領域を形成することができる。
【0009】
一般的に導電膜の異方性エッチングを行なうには、プラズマエッチング法が用いられる。エッチングガスは導電膜の材料によって、適宜最適なものを選択する必要がある。
【0010】
なおエッチングガスにBCl3を用いることについては、下記非特許文献1に記載されている。
【0011】
【非特許文献1】
Hiroki Kawada, "An In Situ Analysis of Residue Deposited on an Etching Chamber's Surface 壁面堆積膜のin situ分析", Plasma Science Symposium 2001/The 18th Symposium on Plasma processing, 社団法人 応用物理学会、社団法人 プラズマ・核融合学会、日本学術振興会プラズマ材料科学第153委員会、平成13年1月24日〜26日、SA2-2 第241−242頁
【0012】
ちなみに上記非特許文献1には、プラズマエッチングにおいて、エッチングガスとしてBCl3を用いた場合、エッチング装置のチャンバー内に設けられた石英の表面にB23が付着することが記載されている。
【0013】
【発明が解決しようとする課題】
ところで、図7に示した工程に従ってTFTを作製した場合、同じ条件でエッチングを行なっても、複数あるロットのうち幾つかのロットにおいては、上層の導電膜の異方性エッチングが不充分となり、上層の導電膜の裾の部分が極端に長くなる「裾引き」と呼ばれる現象が生じていた。
【0014】
図8(A)に、下層に裾引きが見られる2層の導電膜の、倍率2万の断面SEM像を示す。7200はマスクとして用いたレジストであり、7201が上層、7202が下層の導電膜に相当する。
【0015】
なお図8(A)に示した導電膜は、下層が30nmのTaN、上層が370nmのWで形成されている。そしてこれら2層の導電膜7201、7202は2回のエッチング処理が施されている。エッチング処理は2回ともICPエッチング法を用いている。
【0016】
1回目のエッチング処理は、Cl2とCF4とO2を25/25/10sccmの流量で供給し、トータルの圧力を1.5Paとした。またコイル型の電極に500Wの高周波(13.56MHz)電力を投入し、基板側(試料ステージ)には150Wの高周波(13.56MHz)電力を投入した。その後、エッチングガスをCl2とCF4に変更し、流量をそれぞれ30/30sccm、トータルの圧力を1.5Paとした。またコイル型の電極に500Wの高周波(13.56MHz)電力を投入し、基板側(試料ステージ)には10Wの高周波(13.56MHz)電力を投入した。
【0017】
また2回目のエッチング処理は、Cl2とSF6とO2を25/25/10sccmの流量で供給し、トータルの圧力を1.3Paとした。またコイル型の電極に700Wの高周波(13.56MHz)電力を投入し、基板側(試料ステージ)には10Wの高周波(13.56MHz)電力を投入した。
【0018】
図8(A)に示したSEM像においてゲート電極の上層7201と下層7202の部分をより詳しく観察するために、ゲート電極の端部における倍率4万の断面SEM像を図8(B)に示す。図8(B)において、ゲート電極の上層7201の一部7203がエッチングされずに残された状態にあり、ゲート電極の下層7202が裾引きとして残った部分7203に覆われた状態であることがわかる。よって、ゲート電極の下層7202の下に形成されるべきLov領域において、不純物が十分に添加されずに、実際にLov領域として機能する領域のチャネル長方向における幅Wovが短くなる。
【0019】
図7(A)に示したTFTにおいて、裾引きが生じた場合の構成を、図7(B)に示す。図7(B)において、上層のゲート電極6003aの裾の部分6007が、エッチングされきれずに残っている。そのため、上層のゲート電極6003aと下層のゲート電極6003bの重なっている面積が増え、その分Lov領域の幅Wovが短くなる。
【0020】
そして、Lov領域の幅Wovが短くなると、ドレイン電界の緩和が不充分になるためホットキャリア効果が防げず、TFTの信頼性が確保されにくくなる。
【0021】
本発明は上述した問題に鑑み、上述した裾引きと呼ばれる現象を防ぎ、なおかつロット間におけるTFTの信頼性のばらつきを抑えることができる、プラズマエッチング装置のクリーニング方法、プラズマエッチング方法及び該プラズマエッチング方法を用いた半導体装置の作製方法の提供を課題とする。
【0022】
【課題を解決するための手段】
本発明者は、裾引きが生じたロットと生じなかったロットとの間で作製条件の比較検討を行なった結果、同一のエッチング装置において、エッチングガスにBCl3を用いてエッチング処理を行なった後に、ゲート電極の異方性エッチングを行うと、裾引きが発生するということを見出した。
【0023】
表1に、前処理としてダミーの石英基板を各種エッチングガスを用いたプラズマに曝した後、2層の導電膜を異方性エッチングした基板の、裾引きの有無を観察した結果を示す。なお導電膜は下層にTaN、上層にWを用い、エッチングガスにはSF6を用いた。そして誘導結合プラズマ(ICP)エッチング装置を用い、上層より遅い速度で下層が異方性エッチングされる条件でエッチング処理を行なった。また裾引きの有無の判断はSEMで形状を観察するこにより行なった。
【0024】
【表1】
Figure 0004131813
【0025】
また表1の処理を行なった基板のSEM像を図1に示す。図1において、破線は下層と上層の導電膜の境目を示しており、レジストからの距離が長いほど、裾引きが著しく生じていることを意味する。なお図1(A)は試料No.1に、図1(B)は試料No.2に、図1(C)は試料No.3に、図1(D)は試料No.4に、図1(E)は試料No.5に、図1(F)は試料No.6に、図1(G)は試料No.7に対応している。
【0026】
表1と図1に示した結果から、BCl3が裾引きの発生原因の1つであることがわかった。
【0027】
BCl3はAlやTiのエッチングガスとして用いられており、TFTへの電気的な接続を行なうAlの配線のエッチングに主に用いられている。本発明者は、該BCl3をエッチングガスとして用いることでエッチング装置のチャンバー内に用いられている石英の表面に付着したB23等のBOXが、次工程のエッチングガスの励起、解離などのプラズマへの反応を妨げる一因になっていると推測される。
【0028】
そこで本発明者は、BCl3など石英表面にBOXが付着するエッチングガスを用いた後に、石英をエッチングできるガス、例えばCl2や、Cl2とCF4などのフッ素系のガスの混合ガスを用いてプラズマを励起し、チャンバー内の石英に付着しているBOXを除去(クリーニング)することでプラズマ密度を常に一定に保つことができ、次のエッチング処理における裾引きが抑えられるのではないかと考えた。
【0029】
なお上述した本発明のクリーニング法は、プラズマを励起することで石英表面にBOXが付着するエッチングガスを用いたあとに行えば良く、エッチングガスはBCl3に限定されない。
【0030】
また、クリーニングに用いるガス(クリーニングガス)は、Cl2や、Cl2とCF4の混合ガスに限定されない。フッ素系のガスとして、CF4の他に例えばSF6やNF3等を用いることができる。しかしCHF3などのように石英をエッチングすることはできるが、石英の表面に新たにCFXなどの残留物を付着するようなクリーニングガスは好ましくない。また上述したクリーニングガスにO2を混ぜて使用しても良く、例えばCl2とSF6とO2の混合ガスをクリーニングガスとして用いることも可能である。
【0031】
また、導電膜のエッチングの際に、ゲート絶縁膜のプラズマに曝される部分が共にエッチングされる傾向がある。このゲート絶縁膜の膜厚の減少量は、裾引きの発生と同様にロットによって異なっていた。しかし、上述した方法を用いてBOXを除去することで、前処理に用いたエッチングガスの種類に関わらず、エッチングの際のプラズマ密度を一定に保つことができるので、ゲート絶縁膜の膜厚の減り方も一定に保つことができる。
【0032】
図2に、エッチングよるゲート絶縁膜の膜厚の減少量を各ロットごとに示し、また併せてx−Rs管理図も示す。横軸はロットNo.を示しており、グラフの横軸より上は膜厚の減少量を、下はRs(移動範囲)を示している。
【0033】
測定は、53nmの非晶質珪素膜、100nmの窒化酸化珪素、第1の導電膜TaN、第2の導電膜Wを順に積層し、第1の導電膜TaN及び第2の導電膜Wに等法性のエッチング処理を施した試料と、該試料に等方性のエッチング処理を施した試料とを用いた。そして、エリプソメーターを用いて基板面内49ポイントにおけるゲート絶縁膜の膜厚の平均値を各試料ごとに求め、2つの試料における平均値の差分を、膜厚の減少量としてプロットした。
【0034】
異方性エッチングはICPエッチング法で行なった。具体的にはCl2とSF6とO2を12/24/24sccmの流量で混合したエッチングガスを1.3Paの圧力とし、25sec行なった。またコイル型の電極に700WのRF(13.56MHz)電力を投入し、基板側(試料ステージ)には10WのRF(13.56MHz)電力を投入した。
【0035】
なお、ロット番号がLot.26より左のロットは全て本発明のクリーニング方法を用いておらず、Lot.26を含めそれより右のロットは全て本発明のクリーニング方法を用いている。なおクリーニングは、Lot.26からLot.35まではCl2を用い、処理時間を約10分とした。Lot.36からLot.47までは、Cl2とCF4を混合したガスを用いて約6分処理した後、クリーニングガスをCl2に切り替えて約6分処理した。
【0036】
またクリーニングを行なったロットについて、膜厚の減少量の3σ法で求めた上方管理限界(UCL)と下方管理限界(LCL)と中心線(CL)を図2に示す。さらにクリーニングを行なったロットについて、Rsの3σ法で求めた上方管理限界(UCL’)と中心線(CL’)も併せてを図2に示す。
【0037】
CLは膜厚の減少量の平均値であり、UCLは、Rsの平均値と係数2.66を掛けた値を、CLの値に加えることで算出することができる。LCLは、Rsの平均値と係数2.66を掛けた値を、CLの値から差し引くことで得られる。またCL’はRsの平均値であり、UCL’はCL’の値に係数3.27を掛けることで得られる。
【0038】
具体的に、クリーニングを行なったロットにおいて、膜厚の減少量のUCLは14.805nm、LCLは7.835nmであり、UCL’は4.284nmであった。
【0039】
そして、クリーニングを行なったロットには裾引きが見られておらず、その膜厚の減少量は全て上記管理限界の範囲内に収まっている。
【0040】
一方、クリーニングを行なっていないロットのうち、Lot.01、Lot.04、Lot.11、Lot.14、Lot.17、Lot.19、Lot.22、Lot.23において裾引きが見られており、これらのロットは全て上記管理限界の範囲から逸脱していることがわかった。そして、クリーニングを行なっていないロットのうち、裾引きが見られていないロットは、Lot.09を除いて全て上記管理限界内に収まっていることがわかった。
【0041】
このことから、裾引きの発生とゲート絶縁膜の膜厚のばらつきの発生は別個に生じる現象ではなく、共に同じ原因に由来する現象であることがわかる。
【0042】
そして図2から、本発明のクリーニング方法を用いていないロットにおいては、ゲート絶縁膜の膜厚の減少量がランダムであり、一方、本発明のクリーニング法を用いたロットにおいては膜厚の減少量が比較的均一に保たれていることがわかる。これは、ロット間の膜厚の減少量の変動を示すRsの平均値が、全ロットでは2.65nm、クリーニングを行なったロットのみでは1.31nmであることからもわかる。
【0043】
そして、ゲート絶縁膜の膜厚の減少量は、全ロットでは平均10.743nm、またクリーニングを行なったロットのみでは平均11.32nmであり、クリーニングを行なうことでゲート絶縁膜がよりエッチングされやすくなっていることがわかる。
【0044】
これは、クリーニングを行なうことでエッチングガスのプラズマへの反応を阻害する付着物が、クリーニングによりチャンバー内の石英から除去されたため、プラズマ密度が比較的一定に保たれていたためと考えられる。裏を返せば、クリーニングを行なわないと、各ロットにおいて前処理で用いたエッチングガスの種類はまちまちであるため、異方性エッチングの際のプラズマ密度が一定に保たれにくく、ゲート絶縁膜の膜厚がランダムになると考えられる。
【0045】
なお、Cl2のみを用いてクリーニングを行なったロットよりも、Cl2とCF4を混合したガスを用いてクリーニングを行なったロットの方が、膜厚のばらつきが小さく、より効果的に付着物が除去されたことがわかる。
【0046】
したがって本発明のクリーニング法を用いることで、ゲート絶縁膜の膜厚のばらつきを抑えることができる。そして、ゲート絶縁膜を介して半導体膜に不純物をドーピングし、ソース/ドレイン領域を形成する場合、ソース/ドレイン領域の不純物の濃度はゲート絶縁膜の膜厚に左右される。よって、ゲート絶縁膜の膜厚のばらつきを抑えることで、ロット間におけるTFTの特性、具体的にはソース/ドレイン領域の不純物濃度のばらつきを抑えることができる。
【0047】
また本発明のクリーニング法を用いることで、異方性エッチングにおける裾引きと呼ばれる現象を防ぐことができる。よって、Lov領域の幅が短くなってホットキャリア効果が起こるのを防ぐことができ、TFTの信頼性をより高め、なおかつロット間における信頼性のばらつきを抑えることができる。
【0048】
【発明の実施の形態】
次に、本発明のクリーニング法を用いた半導体装置の作製方法について説明する。
【0049】
まず図3(A)に示すように、基板7001上に下地膜7002を成膜する。基板7001には、例えばバリウムホウケイ酸ガラスや、アルミノホウケイ酸ガラスなどのガラス基板、石英基板、SUS基板等を用いることができる。また、プラスチック等の可撓性を有する合成樹脂からなる基板は、一般的に上記基板と比較して耐熱温度が低い傾向にあるが、作製工程における処理温度に耐え得るのであれば用いることが可能である。
【0050】
下地膜7002は基板7001中に含まれるNaなどのアルカリ金属やアルカリ土類金属が、半導体膜中に拡散し、半導体素子の特性に悪影響を及ぼすのを防ぐために設ける。よってアルカリ金属やアルカリ土類金属の半導体膜への拡散を抑えることができる酸化珪素や、窒化珪素、窒化酸化珪素などの絶縁膜を用いて形成する。本実施の形態では、プラズマCVD法を用いて窒化酸化珪素膜を10〜400nm(好ましくは50〜300nm)の膜厚になるように成膜した。
【0051】
なお下地膜7002は単層であっても複数の絶縁膜を積層したものであっても良い。またガラス基板、SUS基板またはプラスチック基板のように、アルカリ金属やアルカリ土類金属が多少なりとも含まれている基板を用いる場合、不純物の拡散を防ぐという観点から下地膜を設けることは有効であるが、石英基板など不純物の拡散がさして問題とならない場合は、必ずしも設ける必要はない。
【0052】
次に下地膜上に島状の半導体膜7003を形成する。島状の半導体膜7003の膜厚は25〜100nm(好ましくは30〜60nm)とする。なお島状の半導体膜7003は、非晶質半導体であっても良いし、多結晶半導体であっても良い。また半導体は珪素だけではなくシリコンゲルマニウムも用いることができる。シリコンゲルマニウムを用いる場合、ゲルマニウムの濃度は0.01〜4.5atomic%程度であることが好ましい。
【0053】
多結晶半導体を用いる場合、まず非晶質半導体を成膜し、該非晶質半導体を公知の結晶化方法を用いて結晶化すれば良い。公知の結晶化方法としては、加熱器による加熱で結晶化を行なう方法、レーザー光の照射で結晶化を行なう方法、触媒金属を用いて結晶化を行なう方法、赤外光を用いて結晶化を行なう方法等が挙げられる。
【0054】
例えばレーザ光を用いて結晶化する場合、パルス発振型または連続発振型のエキシマレーザー、YAGレーザー、YVO4レーザー等を用いる。例えばYAGレーザーを用いる場合、半導体膜に吸収されやすい第2高調波の波長を用いる。そして発振周波数30〜300kHz、エネルギー密度を300〜600mJ/cm2(代表的には350〜500mJ/cm2)とし、任意のポイントに数ショットずつ照射できるように走査速度を設定すると良い。
【0055】
次に、島状の半導体膜7003を覆うようにゲート絶縁膜7004を成膜する。ゲート絶縁膜は、後のゲート電極を形成するために行なうドライエッチングにおいて、その膜厚が10〜20nm程度減少するので、その減少分を考慮に入れて膜厚を設定するのが望ましい。具体的には40〜150nm(より好ましくは60〜120nm)程度の厚さにゲート絶縁膜を成膜する。
【0056】
ゲート絶縁膜には、例えば酸化珪素、窒化珪素または窒化酸化珪素等を用いることができる。また成膜方法は、プラズマCVD法、スパッタ法などを用いることができる。例えば、プラズマCVD法で酸化珪素でゲート絶縁膜を成膜する場合、TEOS(Tetraethyl Orthosilicate)とO2を混合したガスを用い、反応圧力40Pa、基板温度300〜400℃、高周波(13.56MHz)電力密度0.5〜0.8W/cm2とし、成膜する。
【0057】
また窒化アルミニウムをゲート絶縁膜として用いることができる。窒化アルミニウムは熱伝導率が比較的高く、TFTで発生した熱を効率的に発散させることができる。またアルミニウムの含まれない酸化珪素や酸化窒化珪素等を形成した後、窒化アルミニウムを積層したものをゲート絶縁膜として用いても良い。
【0058】
次に、ゲート絶縁膜7004上に導電膜を成膜する。本実施の形態ではTaNからなる第1の導電膜7005を20〜100nmの厚さで、Wからなる第2の導電膜7006を100〜400nmの厚さで成膜する。
【0059】
具体的に、第1の導電膜7005に用いるTaNは、ターゲットに純度99.99%のTaを用い、チャンバー内の温度を室温、Arの流量を50ml/min、N2の流量を10ml/min、チャンバー内の圧力0.6Pa、成膜電力1kWとし、成膜速度約40nm/minで成膜した。また第2の導電膜7006に用いるWは、ターゲットに純度99.99%のWを用い、チャンバー内の温度を230℃、Arの流量を100ml/min、チャンバー内の圧力1.5Pa、成膜電力6kWとし、成膜速度約390nm/minで成膜した。
【0060】
次にマスク7007を形成し、図3(B)に示すように第1の導電膜7005及び第2の導電膜7006をエッチングする(第1のエッチング処理)。本実施の形態ではICP(Inductively Coupled Plasma:誘導結合型プラズマ)エッチング法を用いて行なった。エッチングガスとしてCl2とCF4とO2をを混合したガスを用い、チャンバー内のエッチングガスの圧力を1.0Paとする。そして、コイル型の電極に500W、13.56MHzの高周波(RF)電力を投入し、プラズマを生成する。また基板が載置されたステージ(下部電極)に150W、13.56MHzの高周波(RF)電力を投入し、これにより基板に自己バイアス電圧が印加される。その後、エッチングガスをCl2とCF4に変更し、トータルの圧力を1.0Paとした。またコイル型の電極に500Wの高周波(13.56MHz)電力を投入し、基板側(試料ステージ)には20Wの高周波(13.56MHz)電力を投入した。
【0061】
CF4とCl2をエッチングガスとして用いると、第1の導電膜7005であるTaNと、第2の導電膜7006であるWのエッチングレートがほぼ等しくなり、共に同じ程度エッチングされる。
【0062】
この第1のエッチング処理により、下層7008aと上層7008bとで構成された、第1の形状の導電膜7008が形成される。なおこの第1のエッチング処理において、下層7008aと上層7008bの側面がややテーパー状になる。また導電膜の残渣を残さないようにエッチングすると、ゲート絶縁膜7004の第1の形状の導電膜7008で覆われていない表面が5〜10nm程度エッチングされることがある。
【0063】
次に、図3(C)に示すように、ICPエッチング装置において第2のエッチング処理を行ない、第1の形状の導電膜7008をエッチングするが、その前に本発明ではICPエッチング装置のチャンバー内のクリーニングを行なう。
【0064】
本実施の形態では、例えば石英基板などのダミーの基板を、ステージに載置しチャンバー内のクリーニングを行なう。そして、クリーニング用のクリーニングガスとして、Cl2またはCl2とCF4を混合したガスを用いる。例えばCl2を用いる場合、流量を80sccmとし、Cl2とCF4を用いる場合は流量をそれぞれ40sccmとする。
【0065】
そしてチャンバー内のクリーニングガスの圧力を0.5〜3Pa(好ましくは1.0〜2Pa)とし、コイル型の電極に高周波電力を投入してプラズマを発生させ、120sec程度クリーニングを行なう。本実施の形態では、コイル型の電極に450W、13.56MHzの高周波電力を投入し、プラズマを生成する。また基板が載置されたステージ(下部電極)に100W、13.56MHzの高周波電力を投入し、ダミーの基板に自己バイアス電圧を印加する。
【0066】
クリーニングを行なう時間、各電極に投入する高周波電力のパワーは、チャンバー内の石英に付着したBOXの量に依存するので、実施者が適宜適した値に設定するのが望ましい。
【0067】
次に図3(C)に示すように、第1のエッチング処理で表面がエッチングされて幅が小さくなったマスク7007を用い、第1の形状の導電膜7008をエッチング(第2のエッチング処理)する。第2のエッチング処理でも第1のエッチング処理と同じくICPエッチング法を用いる。エッチングガスはSF6、Cl2、O2を混合したガスを用い、チャンバー内のエッチングガスの圧力を1.3Paとする。そして、コイル型の電極に700W、13.56MHzの高周波電力を投入し、プラズマを生成する。また基板が載置されたステージ(下部電極)に10W、13.56MHzの高周波電力を投入し、これにより基板に自己バイアス電圧が印加される。
【0068】
SF6とCl2を混合したガスにO2を加えることで、Wのエッチングレートが増加し、また第1の形状の導電膜7008の下層7008bを形成しているTaNのエッチングレートが極端に低下するため、選択比をとることができる。
【0069】
第2のエッチング処理によって、第2の形状の導電膜7010(下層を7010a、上層を7010bとする)が形成される。ゲート電極7010の上層7010bのチャネル長方向における幅は、下層7008bの幅よりも短くなっている。2の形状の導電膜7010はゲート電極として機能する。また、第2のエッチング処理によって、ゲート絶縁膜7004のゲート電極7010で覆われていない表面が5〜10nm程度エッチングされる。
【0070】
本発明のクリーニング法は、全てのロットにおいて実施する必要はなく、BCl3などのチャンバー内の石英にBOXが付着するようなエッチングガスを用いた後の工程にのみ、行なうようにすれば良い。しかし図2からもわかるように、エッチング処理の前に必ず実施するようにすることで、よりRsの値を小さく抑え、ゲート絶縁膜の膜厚のばらつきを抑えることができる。よって本実施の形態では第2のエッチング処理の前に本発明のクリーニング法を実施するが、第1のエッチング処理の前に併せて実施するようにしても良い。
【0071】
次に図3(C)に示すように、ゲート電極7010をマスクとして用い、島状の半導体膜7003にn型の導電性を付与する不純物を添加する(第1のドーピング処理)。ドーピングはイオン注入法で行なう。ドーピングは、ドーズ量を1×1013〜5×1014atoms/cm2、加速電圧を40〜80kVとして行う。n型を付与する不純物元素は、ドナーとして機能するP、As、Sb等の5族原子やS、Te、Se等の6族原子を用いるが、本実施の形態ではPを用いる。
【0072】
なお本実施の形態ではnチャネル型のTFTの作製工程について示しているが、pチャネル型のTFTの場合は、アクセプターとして機能するB、Al、Ga、In等の3族原子や、Zn等の2族元素を添加する。
【0073】
第1のドーピング処理により、自己整合的に第1の不純物領域7009が形成される。第1の不純物領域7009には1×1018〜1×1020atoms/cm3の濃度範囲でn型を付与する不純物元素が添加されている。
【0074】
次に図3(D)に示すように、ゲート電極7010の上層7010bをマスクとして第2のドーピング処理を行う。第2のドーピング処理では、ゲート電極7010の下層7010aを不純物が通過するように、第1のドーピング処理よりも加速電圧を高くする。そして第2のドーピング処理によりLDD領域を形成するので、第1のドーピング処理よりもn型の不純物のドーズ量を下げる。具体的には、加速電圧を60〜120kVとし、ドーズ量を1×1013〜1×1015atoms/cm2とする。
【0075】
続いて、第2のドーピング処理より加速電圧を下げて第3のドーピング処理を行って図3(D)の状態を得る。第3のドーピング処理は、加速電圧を50〜100kVとし、ドーズ量を1×1015〜1×1017atoms/cm2とする。第2のドーピング処理および第3のドーピング処理により、ゲート電極7010の下層7010bと重なる第2の不純物領域7012と、第1の不純物領域7009に不純物がさらに添加されることで形成される第3の不純物領域7013とが形成される。第2の不純物領域7012には1×1018〜5×1019atoms/cm3の濃度範囲でn型を付与する不純物元素を添加され、第3の不純物領域7013には1×1019〜5×1021atoms/cm3の濃度範囲でn型を付与する不純物元素が添加される。
【0076】
第2の不純物領域7012は第3の不純物領域7013の内側に形成されており、第2の不純物領域7012はLDD領域、第3の不純物領域7013はソース/ドレイン領域として機能する。
【0077】
図4(A)に、図3(D)に示したTFTの、LDD領域7012近傍の拡大図を示す。図4(A)に示すように、LDD領域7012は、ゲート電極7010の下層7010aと重なっており、Lov領域として機能する。
【0078】
もちろん、適当な加速電圧にすることで、第2のドーピング処理および第3のドーピング処理は1回のドーピング処理で、低濃度不純物領域および高濃度不純物領域を形成することも可能である。
【0079】
そして第2のドーピング処理が終了したら、島状の半導体膜に添加された不純物元素を活性化するために熱処理を行なう。この工程はファーネスアニール炉を用いる熱アニール法、レーザーアニール法、またはラピッドサーマルアニール法(RTA法)を用いることができる。例えば熱アニール法で活性化を行なう場合、酸素濃度が1ppm以下、好ましくは0.1ppm以下の窒素雰囲気中で、400〜700℃(好ましくは500〜600℃)で行う。
【0080】
さらに、3〜100%の水素を含む雰囲気中で、300〜450℃で1〜12時間の熱処理を行い、島状の半導体膜を水素化する工程を行う。この工程は熱的に励起された水素により半導体層のダングリングボンドを終端する工程である。水素化の他の手段として、プラズマ水素化(プラズマにより励起された水素を用いる)を行っても良い。
【0081】
また活性化処理は、厚さ100〜200nm程度の珪素を含む酸化珪素、窒化珪素、酸化窒化珪素などの絶縁膜を形成してから行なっても良い。
【0082】
上記一連の工程によってTFTを形成することができる。なお、第1及び第2のエッチング処理によって、ゲート絶縁膜7004の膜厚は、ゲート電極7010で覆われていない表面がトータルで10〜20nm程度エッチングされる。本発明のクリーニング法を行なうことで、ゲート絶縁膜のエッチングされる厚さWdのロットのばらつきを抑え、TFTの特性のばらつきをも抑えることができる。
【0083】
また本実施の形態では、LDD領域7012全体がゲート電極7010の下層7010aと重なっているので、LDD領域7012はLov領域として機能するが、本発明はこれに限定されない。例えば、第1のエッチング処理と第2のエッチング処理の間にドーピング処理を行なってソース/ドレイン領域を形成し、なおかつ第2のエッチング処理で下層をチャネル長方向において短くなるようにエッチングすることで、図4(B)に示すように、ゲート電極の下層7112と重なるLov領域7111aと重ならないLoff領域7111bを両方形成することができる。
【0084】
なお本実施の形態では第1の導電膜としてTaN、第2の導電膜としてWを用いたが、ゲート電極の材料はこれに限定されない。ゲート電極には、Ta、W、Ti、Mo、Al、Cuから選ばれた元素、または前記元素を主成分とする合金もしくは化合物で形成する。例えば1層目がTaで2層目がW、または1層目がTaNで2層目がAl、1層目がTaNで2層目がCuといった組み合わせも考えられる。また1層目と2層目のいずれか一方にAgPdCu合金を用いても良い。
【0085】
また導電膜は2層に限定されず、単層や3層以上の導電膜であっても良い。例えば、W、AlとSiの合金(Al−Si)、TiNを順次積層した3層構造としてもよい。また、Wの代わりに窒化タングステンを用いてもよいし、AlとSiの合金(Al−Si)に代えてAlとTiの合金膜(Al−Ti)を用いてもよいし、TiNに代えてTiを用いてもよい。ただし、複数の導電膜を成膜する場合、各導電膜でゲート電極のチャネル長方向における幅に差を持たせたいならば、互いにエッチングの選択比のとれる材料を用いる。
【0086】
なお、導電膜の材料によって、適宜最適なエッチングガスを選択することが重要である。
【0087】
なお上記プラズマエッチングはICPエッチング法に限定されない。例えば、ECR(Electron Cyclotron Resonance:電子サイクロトロン共鳴)エッチング法、RIEエッチング法、ヘリコン波エッチング法、ヘリカル共鳴エッチング法、パルス変調エッチング法やその他のプラズマエッチング法を用いていても良い。
【0088】
本発明のクリーニング法を用いることで、異方性エッチングにおける裾引きと呼ばれる現象を防ぐことができる。よって、Lov領域の幅が短くなることでホットキャリア効果が起こるのを防ぐことができ、TFTの信頼性をより高め、なおかつロット間における信頼性のばらつきを抑えることができる。
【0089】
なお本発明は、集積回路や半導体表示装置に代表される半導体装置の作製方法に用いることができる。具体的に例を挙げると、液晶表示装置、有機発光素子に代表される発光素子を各画素に備えた発光装置、DMD(Digital Micromirror Device)、PDP(Plasma Display Panel)、FED(Field Emission Display)等の作製に用いることができる。
【0090】
【実施例】
以下、本発明の実施例について説明する。
【0091】
(実施例1)
本実施例では、裾引きが現れていないと判断した2層の導電膜の、断面のSEM像を示す。
【0092】
なお図5に示した導電膜は、下層501が30nmのTaN、上層502が370nmのWで形成されている。そしてこれら2層の導電膜501、502には2回のエッチング処理が施されている。エッチング処理は2回ともICPエッチング法を用いている。そして、1回目のエッチング処理は、Cl2とCF4を30/30sccmの流量で供給し、トータルの圧力を1.5Paとした。またコイル型の電極に500WのRF(13.56MHz)電力を投入し、基板側(試料ステージ)には150WのRF(13.56MHz)電力を投入した。また2回目のエッチング処理は、Cl2とSF4とO2を12/24/24sccmの流量で供給し、トータルの圧力を1.3Paとした。またコイル型の電極に700WのRF(13.56MHz)電力を投入し、基板側(試料ステージ)には10WのRF(13.56MHz)電力を投入した。
【0093】
なお503はレジストで形成されたマスクに相当する。
【0094】
図5に示したSEM像では、破線504で囲んだ上層502の裾の部分において、裾引きが見られていない。
【0095】
(実施例2)
本実施例では、ICPエッチング装置の構成と、チャンバー内において石英が用いられている箇所について説明する。
【0096】
図6に本実施例のICPエッチング装置の構成を示す。601はチャンバー(反応室)であり、被処理物である基板602が載置されるステージ603が備えられている。
【0097】
またチャンバー601には、チャンバー601内へのエッチングガスまたはクリーニングガスの供給を行なうためのガス供給口607と、チャンバー601内の排気を行なうための排気口608が設けられている。ガス供給口607にはエッチングガスまたはクリーニングガスの供給手段が、排気口608には真空ポンプ等の排気手段が連結されている。
【0098】
606はコイル型の電極(アンテナ)、また609は石英板であり、高周波電源605から電極606に電力が供給されることで誘電磁界が発生し、該誘電磁界は石英板を透過してチャンバー内に印加されている。この誘電磁界によって電子が加速され、プラズマが生成される。
【0099】
またステージ603は高周波電源604から高周波電力が投入されるようになっており、下部電極として機能している。高周波電源604からの高周波電力の投入によって、基板602へ自己バイアス電圧を印加することができる。
【0100】
図6に示したICPエッチング装置では石英板609がチャンバー内に露出しており、BCl3等をエッチングガスとして用いることで、該露出している面にBOXが付着する。本発明のクリーニング法を用いることで、石英板に付着しているこれらのBOXを除去することができ、チャンバー内のプラズマ密度が該BOXによって低下するのを防ぐことができる。
【0101】
【発明の効果】
本発明のクリーニング法を用いることで、プラズマエッチング装置内のBOX等の残留物を除去することができる。また、本発明のプラズマエッチング法を用いることで、異方性エッチングにおける裾引きと呼ばれる現象を防ぐことができる。そして本発明の半導体装置の作製方法を用いることで、裾引きによってLov領域の幅が短くなるのを抑え、ホットキャリア効果が起こるのを防ぐことができ、TFTの信頼性をより高め、なおかつロット間における信頼性のばらつきを抑えることができる。さらにゲート絶縁膜の膜厚のばらつきを抑えることができ、よってロット間におけるTFTの特性、具体的にはソース/ドレイン領域の不純物濃度のばらつきを抑えることができる。
【図面の簡単な説明】
【図1】 表1の処理を行なった基板のSEM像。
【図2】 エッチングよるゲート絶縁膜の膜厚の減少量を各ロットごとに示す図と、x−Rs管理図。
【図3】 本発明のクリーニング法を用いた半導体装置の作製方法を示す図。
【図4】 図3(D)に示したTFTの、LDD領域7012近傍の拡大図。
【図5】 裾引きが現れていないと判断した2層の導電膜の、断面のSEM像。
【図6】 ICPエッチング装置の構成を示す図。
【図7】 チャネル長方向において幅が異なる2層のゲート電極を備えた、TFTの構成を示す図。
【図8】 下層に裾引きが見られる2層の導電膜のSEM像。

Claims (13)

  1. チャンバー内においてBClを含むガスをエッチングガスとして用い、ステージ上の第1の基板上に形成された導電膜に対してプラズマエッチングを行なった後、
    前記第1の基板の代わりにダミー基板を前記ステージ上に設置し、
    BOが残留物として付着している前記チャンバー内に含まれるガスをClとフッ素系ガスを混合したガスまたはClで置換し、前記Clとフッ素系ガスを混合したガスまたはClをプラズマ化して前記BOを除去し、
    前記ダミー基板の代わりに前記第1の基板を前記ステージ上に設置し、
    BOにプラズマ化が抑えられるガスをエッチングガスとして用い、前記第1の基板上の導電膜に対してプラズマエッチングを行なうことを特徴とするプラズマエッチング方法。
  2. チャンバー内においてBClを含むガスをエッチングガスとして用い、ステージ上の第1の基板上に形成された導電膜に対してプラズマエッチングを行なった後、
    前記第1の基板の代わりにダミー基板を前記ステージ上に設置し、
    BOが残留物として付着している前記チャンバー内に含まれるガスをClとフッ素系ガスを混合したガスまたはClで置換し、前記Clとフッ素系ガスを混合したガスまたはClをプラズマ化して前記BOを除去し、
    前記ダミー基板の代わりに前記第1の基板を前記ステージ上に設置し、
    SFを含むガスをエッチングガスとして用い、前記第1の基板上の導電膜に対してプラズマエッチングを行なうことを特徴とするプラズマエッチング方法。
  3. チャンバーの一部に石英が用いられており、なおかつ前記石英が前記チャンバー内に露出しているプラズマエッチング方法であって、
    前記チャンバー内においてBClを含むガスをエッチングガスとして用い、ステージ上の第1の基板上に形成された導電膜に対してプラズマエッチングを行なった後、
    前記第1の基板の代わりにダミー基板を前記ステージ上に設置し、
    BOが残留物として付着している前記チャンバー内に含まれるガスをClとフッ素系ガスを混合したガスまたはClで置換し、前記Clとフッ素系ガスを混合したガスまたはClをプラズマ化して前記BOを除去し、
    前記ダミー基板の代わりに前記第1の基板を前記ステージ上に設置し、
    BOにプラズマ化が抑えられるガスをエッチングガスとして用い、前記第1の基板上の導電膜に対してプラズマエッチングを行なうことを特徴とするプラズマエッチング方法。
  4. チャンバーの一部に石英が用いられており、なおかつ前記石英が前記チャンバー内に露出しているプラズマエッチング方法であって、
    前記チャンバー内においてBClを含むガスをエッチングガスとして用い、ステージ上の第1の基板上に形成された導電膜に対してプラズマエッチングを行なった後、
    前記第1の基板の代わりにダミー基板を前記ステージ上に設置し、
    BOが残留物として付着している前記チャンバー内に含まれるガスをClとフッ素系ガスを混合したガスまたはClで置換し、前記Clとフッ素系ガスを混合したガスまたはClをプラズマ化して前記BOを除去し、
    前記ダミー基板の代わりに前記第1の基板を前記ステージ上に設置し、
    SFを含むガスをエッチングガスとして用い、前記第1の基板上の導電膜に対してプラズマエッチングを行なうことを特徴とするプラズマエッチング方法。
  5. 請求項1乃至請求項4のいずれか1項において、前記プラズマエッチングは、RIEエッチング法、ICPエッチング法、ECRエッチング法、ヘリコン波エッチング法、ヘリカル共鳴エッチング法またはパルス変調エッチング法を用いることを特徴とするプラズマエッチング方法。
  6. 請求項1乃至請求項5のいずれか1項において、前記フッ素系ガスはCF、SFまたはNFであることを特徴とするプラズマエッチング方法。
  7. 請求項1乃至請求項6のいずれか1項において、
    前記チャンバー内に含まれるガスの置換は、前記Clとフッ素系ガスを混合したガスまたはClに更にOを加えたガスで行ない、前記Clとフッ素系ガスを混合したガスまたはClに更にOを加えたガスをプラズマ化することを特徴とするプラズマエッチング方法。
  8. 島状の半導体膜上に形成されたゲート絶縁膜上に第1の導電膜及び第2の導電膜を順に積層し、
    前記第1及び第2の導電膜をエッチングして、積層された第1の形状の第1の導電膜及び第1の形状の第2の導電膜を形成し、
    BOが残留物として付着しているプラズマエッチング装置のチャンバー内に含まれるガスをClとフッ素系ガスを混合したガスまたはClで置換し、
    前記Clとフッ素系ガスを混合したガスまたはClをプラズマ化して前記BOを除去し、
    前記プラズマエッチング装置において前記第1の形状の第1の導電膜及び前記第1の形状の第2の導電膜を異方性エッチングすることで、積層された第2の形状の第1の導電膜及び第2の形状の第2の導電膜を形成することを特徴とする半導体装置の作製方法。
  9. 第1の基板上に島状の半導体膜を形成し、
    前記島状の半導体膜上にゲート絶縁膜を形成し、
    前記ゲート絶縁膜上に第1の導電膜及び第2の導電膜を順に積層し、
    プラズマエッチング装置のチャンバー内のステージ上に前記第1の基板を設置し、
    前記第1及び第2の導電膜をエッチングして、積層された第1の形状の第1の導電膜及び第1の形状の第2の導電膜を形成し、
    前記第1の基板の代わりにダミー基板を前記ステージ上に設置し、
    BO が残留物として付着しているプラズマエッチング装置のチャンバー内に含まれるガスをCl とフッ素系ガスを混合したガスまたはCl で置換し、
    前記Cl とフッ素系ガスを混合したガスまたはCl をプラズマ化して前記BO を除去し、
    前記ダミー基板の代わりに前記第1の基板を設置し、
    前記第1の形状の第1の導電膜及び前記第1の形状の第2の導電膜を異方性エッチングすることで、積層された第2の形状の第1の導電膜及び第2の形状の第2の導電膜を形成することを特徴とする半導体装置の作製方法。
  10. 請求項8又は9において、前記第2の形状の第1の導電膜は、前記第2の形状の第2の導電膜よりも、チャネル長方向における幅が長いことを特徴とする半導体装置の作製方法。
  11. 請求項8乃至請求項10のいずれか1項において、前記プラズマエッチング装置は、RIEエッチング法、ICPエッチング法、ECRエッチング法、ヘリコン波エッチング法、ヘリカル共鳴エッチング法またはパルス変調エッチング法を用いていることを特徴とする半導体装置の作製方法。
  12. 請求項8乃至請求項11のいずれか1項において、前記フッ素系ガスはCF、SFまたはNFであることを特徴とする半導体装置の作製方法。
  13. 請求項8乃至請求項12のいずれか1項において、
    前記チャンバー内に含まれるガスの置換は、前記Clとフッ素系ガスを混合したガスまたはClに更にOを加えたガスで行ない、前記BOの除去は、前記Clとフッ素系ガスを混合したガスまたはClに更にOを加えたガスをプラズマ化して行なうことを特徴とする半導体装置の作製方法。
JP2002310257A 2002-10-24 2002-10-24 プラズマエッチング方法及び半導体装置の作製方法 Expired - Fee Related JP4131813B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002310257A JP4131813B2 (ja) 2002-10-24 2002-10-24 プラズマエッチング方法及び半導体装置の作製方法
US10/689,617 US20040082186A1 (en) 2002-10-24 2003-10-22 Method for cleaning plasma etching apparatus, method for plasma etching, and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002310257A JP4131813B2 (ja) 2002-10-24 2002-10-24 プラズマエッチング方法及び半導体装置の作製方法

Publications (3)

Publication Number Publication Date
JP2004146617A JP2004146617A (ja) 2004-05-20
JP2004146617A5 JP2004146617A5 (ja) 2005-11-10
JP4131813B2 true JP4131813B2 (ja) 2008-08-13

Family

ID=32105290

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002310257A Expired - Fee Related JP4131813B2 (ja) 2002-10-24 2002-10-24 プラズマエッチング方法及び半導体装置の作製方法

Country Status (2)

Country Link
US (1) US20040082186A1 (ja)
JP (1) JP4131813B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019078657A1 (ko) * 2017-10-20 2019-04-25 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100352013C (zh) * 2004-07-16 2007-11-28 鸿富锦精密工业(深圳)有限公司 干蚀刻后处理方法
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
JP4764028B2 (ja) * 2005-02-28 2011-08-31 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP4159584B2 (ja) * 2006-06-20 2008-10-01 エルピーダメモリ株式会社 半導体装置の製造方法
JP2008060171A (ja) * 2006-08-29 2008-03-13 Taiyo Nippon Sanso Corp 半導体処理装置のクリーニング方法
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
CN102956430A (zh) * 2012-05-25 2013-03-06 深圳市华星光电技术有限公司 取代膜层上氯原子的方法
JP6854600B2 (ja) * 2016-07-15 2021-04-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および基板載置台

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
US6399507B1 (en) * 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6706544B2 (en) * 2000-04-19 2004-03-16 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and fabricating method thereof
US6566270B1 (en) * 2000-09-15 2003-05-20 Applied Materials Inc. Integration of silicon etch and chamber cleaning processes
TW515104B (en) * 2000-11-06 2002-12-21 Semiconductor Energy Lab Electro-optical device and method of manufacturing the same
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
US6815359B2 (en) * 2001-03-28 2004-11-09 Advanced Micro Devices, Inc. Process for improving the etch stability of ultra-thin photoresist
US6545245B2 (en) * 2001-05-02 2003-04-08 United Microelectronics Corp. Method for dry cleaning metal etching chamber
US6756313B2 (en) * 2002-05-02 2004-06-29 Jinhan Choi Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
US7115949B2 (en) * 2002-05-30 2006-10-03 Freescale Semiconductor, Inc. Method of forming a semiconductor device in a semiconductor layer and structure thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019078657A1 (ko) * 2017-10-20 2019-04-25 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US11276561B2 (en) 2017-10-20 2022-03-15 Lg Chem, Ltd. Plasma etching method using Faraday cage

Also Published As

Publication number Publication date
US20040082186A1 (en) 2004-04-29
JP2004146617A (ja) 2004-05-20

Similar Documents

Publication Publication Date Title
JP5106136B2 (ja) 半導体装置の作製方法
KR100817879B1 (ko) 반도체장치 제작방법
JP4850858B2 (ja) 半導体装置の作製方法
JP5404064B2 (ja) レーザ処理装置、および半導体基板の作製方法
JP4394149B2 (ja) 半導体装置の作製方法
JP2002324808A (ja) 半導体装置およびその作製方法
KR20090127065A (ko) 반도체 기판의 제조방법
JP4131813B2 (ja) プラズマエッチング方法及び半導体装置の作製方法
JP4209638B2 (ja) 半導体装置の作製方法
US8735263B2 (en) Method for manufacturing SOI substrate
JP4230160B2 (ja) 半導体装置の作製方法
JP4860055B2 (ja) 半導体装置の作製方法
US8877607B2 (en) Method for manufacturing SOI substrate
JP2002151693A (ja) ボトムゲート薄膜トランジスタとその製造方法およびエッチング装置と窒化装置
JPWO2007052393A1 (ja) 半導体装置及びその製造方法
JP4216003B2 (ja) 半導体装置の作製方法
JP5063461B2 (ja) El表示装置
JP5805973B2 (ja) 半導体基板の作製方法
JP3680677B2 (ja) 半導体素子製造装置および半導体素子の製造方法
JP4212844B2 (ja) 半導体装置の作製方法
JP2652368B2 (ja) 絶縁ゲイト型半導体装置の作製方法
SG178691A1 (en) Method for manufacturing soi substrate
JP2004327649A (ja) 半導体装置、薄膜トランジスタおよび半導体装置の製造方法
JP2877129B2 (ja) 薄膜トランジスタおよびその製造方法
JP2004119645A (ja) 薄膜トランジスタおよびその製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050926

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050926

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070821

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080527

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080528

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130606

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130606

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees