JPH0793291B2 - シリコンおよび珪化物のための臭素およびヨウ素エッチング方法 - Google Patents

シリコンおよび珪化物のための臭素およびヨウ素エッチング方法

Info

Publication number
JPH0793291B2
JPH0793291B2 JP62321179A JP32117987A JPH0793291B2 JP H0793291 B2 JPH0793291 B2 JP H0793291B2 JP 62321179 A JP62321179 A JP 62321179A JP 32117987 A JP32117987 A JP 32117987A JP H0793291 B2 JPH0793291 B2 JP H0793291B2
Authority
JP
Japan
Prior art keywords
gas
etching
silicon
magnetic field
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP62321179A
Other languages
English (en)
Other versions
JPS63278339A (ja
Inventor
ヤン クイー ウォン ジェリー
ニン クー ワン ディヴィッド
チャン メイ
ダブリュー エスマック アルフレッド
メイダン ダン
Original Assignee
アプライド マテリアルズインコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25481506&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH0793291(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by アプライド マテリアルズインコーポレーテッド filed Critical アプライド マテリアルズインコーポレーテッド
Publication of JPS63278339A publication Critical patent/JPS63278339A/ja
Publication of JPH0793291B2 publication Critical patent/JPH0793291B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Silicon Compounds (AREA)
  • Weting (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明はシリコン、珪化物、ポリサイド(Polycide)に
高いエッチング速度で小さいフィーチャー(feature)
およびパターンをエッチングするために適した方法に関
する。特に、本発明はヨウ素含有ガスおよび臭素含有ガ
スを用いて単結晶シリコン中に溝(groove)、穴、溝
(trench)のような高アスペクト比のオープニングを形
成する方法に関する。
〔従来の技術〕
最近、集積回路(IC)技術は大規模集積(LSI)から極
大規模集積(VLSI)へ進歩し、次の数年間には超大規模
集積(ULSI)へ成長すると予測される。モノリシック回
路集積に於けるこの進歩は製造装置ならびに半導体ウェ
ーハのICチップへの処理に用いられる材料および方法の
改良によって可能になった。しかし、マスキング、膜形
成、ドーピング、エッチングの基本的な集積回路製造工
程ならびに誘電アイソレーションのような技術に少なく
とも4つの因子が次第に厳重な要求を課している。これ
らの因子は、第一に、ますます複雑なデバイスおよび回
路のICチップ中への組み込み、第二に、より大きいデバ
イス密度とより小さい最小フィーチャーサイズとより小
さい分離の使用、第三に、タングステン、タンタル、チ
タン、モリブデンの珪化物およびポリサイド(ポリシリ
コン上の珪化物)のような複合導体層の使用および、第
四に、例えば埋込みまたは溝コンデンサーを製造するた
めの第三のウェーハ寸法、深さならびに表面積の使用で
ある。
狭くて深い高アスペクト比の溝をエッチングする能力は
埋込みまたはピットコンデンサーの製造には非常に重要
である。また、単結晶シリコン溝分離は、別の乃至他の
デバイス分離技術として半導体研究科学者によって次第
に用いられている。溝誘電分離技術は、比較的小さい表
面積要求、小さい幅対深さ比に対する可能性および鉛直
壁プロフィルを含む、潜在的に数多くの利益がある。
溝技術のもう1つの重要な利点はプロセスが比較的単純
だということである。溝技術を用いて埋込みコンデンサ
ーまたは誘電分離構造物を作ることは単結晶シリコンサ
ブストレート中に溝を反応性イオンエッチング(RIE)
すること、溝(Groove or trench)の側壁を酸化する
と、溝を酸化物誘電体またはポリシリコンで満たすこと
および表面を平坦化(plonarizing)することを含む。
単結晶シリコン材料はエピタキシャル層またはバルクシ
リコンサブストレートまたは両方の複合物のいずれであ
ってもよいと認められるべきである。
最適の単結晶シリコンエッチングを得るためには、壁プ
ロフィル、底プロフィル、マスキング材料選択性、エッ
チング速度均一性、線幅損失を含む多数の因子の再現性
ある制御を必要とする。勿論、化学種の選択、圧力、流
速などのような数多くのプロセス変数がある。これらの
相互依存性変数の複雑な影響が、特に幅wが約1μm未
満でありかつ(または)深さdが約5μmを越えるとき
の狭くて深い溝では、溝プロフィル、エッチング速度お
よび他の特性の再現性ある制御を達成することを困難に
する。
〔発明が解決しようとする問題点〕
第1図について説明すると、多くの分離および埋込みコ
ンデンサー用途のための最良の溝プロフィルは一般に参
照番号10で示されるU形プロフィルである。U形プロフ
ィル10は、シリコンサブストレート11中に、平行鉛直側
壁12−12と丸いエッジすなわち隅14−14とによって形成
される。寸法制御および高いアスペクト比、特に非常に
小さい溝幅wおよび深い溝深さd(アスペクト比Ar=d/
w)のためには鉛直側壁12−12をエッチングする能力が
必要である。丸いエッジ14−14を有するU形底13は酸化
誘導応力(oxidation−induced stress)を減少する。
化学種の選択および他の操作パラメーターから生じ得る
潜在的に望ましくないプロフィルを第2−5図に示す。
第2図は一般的に鉛直な側壁16−16を有する溝15を示
す。しかし、加工パラメーターは鋭い隅17−17を溝底18
に生じた。かかる鋭い隅には冷却中に応力が蓄積し、シ
リコン結晶の転位を生じて電流漏洩および亀裂を促進す
る可能性がある。
もう1つの丸くない溝底プロフィルを第3図に示す。こ
こで、溝20はほぼ鉛直な側壁21−21を有するが、底エッ
ジすなわち隅22−22は第2図のエッジ17−17よりもさら
に鋭い角度をなす。第3図に示す現象および幾何構造、
すなわち鋭角エッジ22−22と凸底23とを“トレンチング
(trenching)”と称する。
さらにもう1つの丸くない溝底プロフィルを第4図に示
す。この場合、鉛直でないエッチングが単一の鋭い底エ
ッジ27を形成する角度ある側壁26−26を有するV形溝25
を生じた。
最後に、第5図は高速エッチングプロセスにしばしば付
随する“反り(bowing)”現象を示す。すなわち第5図
に示した溝30は凹形すなわち反った側壁31−31を有す
る。反ったプロフィルの主な欠点は溝の側面積の増加、
対応する活性デバイスのための有効面積の減少およびデ
バイス密度の減少である。
第2〜5図に示した溝プロフィルがある種の用途では有
用であることがあり得る。例えば、V形プロフィル25は
与えられた深さdに対して鉛直プロフィルよりも大きい
開きwを必要とし、かくして、より大きいウェーハ表面
積を占めるが、V形プロフィルは埋込み鉛直コンデンサ
ーの製造に用いられ得る。しかし、一般には、丸い底と
低い応力とを有するU形プロフィル10が非常に好まし
い。結果として、所要されるようにU形溝プロフィル10
を再現することができかつあったとしても選択によって
のみV形プロフィルのような他のプロフィルを形成する
ことができるエッチング方法が望ましい。
狭い溝に関連するさらにもう1つの考慮すべきことすな
わち問題は深さdの大きさが開きの寸法の大きさwに対
して増すにつれてエッチング速度の減少する傾向であ
る。エッチング反応が進行するためには、反応成分種が
新たに暴露した領域に吸着できるようにエッチング表面
から反応生成物が脱着しなければならない。典型的に
は、溝の開き寸法wが小さくなるにつれて、競争する反
応成分が群がるために局部的なエッチング速度は減少す
る。
“黒色シリコン”はもう1つの一般的なエッチング問題
である。この状態は局在化エッチングマスクとして作用
する残留酸化物のような表面汚染物質の存在によって生
ずる。これらのミクロマスクの下の領域は汚染物質が完
全に浸食されるまでエッチング除去されないで、結果と
して最終溝サブストレートの底は黒色シリコンの名称の
原因となる粗い光散乱性黒色表面を生ずる。
黒色シリコンの生成は弗素化方法化学種を用いるときに
は打ち勝ち難い問題ではない。しかし、弗素化化学種は
しばしばフォトレジストをマスキング材料として用い
る。レジストに対するシリコンの選択性は僅か約3:1で
あるので、1μm厚のレジストと弗素化ガス化学種とを
用いるとエッチング深さは約3μmに限定される。弗素
化化学種はマスクの下のアンダーカッティングおよび近
接効果(ウェーハ中の異なるフィーチャー幾何構造に対
する異なるエッチング速度)を含む、狭く深い溝をエッ
チングする能力を制限する他の困難な問題もある。
塩素化ガスエッチャント種および酸化物マスキング材料
は深い溝をエッチングするために用いられている。しか
し、塩素化ガスの他の点では望ましいエッチング特性
(エッチング異方性および酸化珪素に対する高い単結晶
シリコン選択性)は酸化物ミクロマスクに対する望まし
くない有効性を与え、かくしてエッチング中に黒色シリ
コンを生ずる。
さらに、高速度(>5,000Å/分)でのエッチングに塩
素化ガス化学種を用いるときには、反り(第5図)を防
ぐことが非常に困難である。また、底プロフィルは深さ
依存性である。すなわち、深い溝では所望の丸い底プロ
フィル(第1図)を得ることは非常にむずかしい。最後
に、塩素化ガス化学種を用いるとき、アスペクト比は約
1μmより大きい幾何構造(開きw)に対しては約10:1
に限定され、1μmより小さい幾何構造に対しては僅か
約5:1に限定される。
1つの例外(黒色シリコンのような問題を避ける塩素化
ガス化学種エッチング)はデービッド ワング(David
Wang)らの名義で1985年8月12日に出願され、共通の譲
渡人に譲渡され、許可査定された、“単結晶シリコンエ
ッチング方法(Process for Etching Single Crystal S
ilicon)”という名称の同時係属特許出願第764,981号
(“ワング(Wang)の特許出願”)中に記載されてお
り、この記載は全体として参照文として本明細書に含ま
れるものとする。上に挙げたワングの特許出願はBCl3
ドープされた塩素化エッチングガスがトレンチング(ty
enching)、反りまたは黒色シリコンを起こすことなく
比較的狭い深さ15−20μmのU形溝をエッチングするの
に適応している単結晶シリコンエッチングを記載してい
る。
しかし、一般に、上で論じた制限は弗素化または塩素化
ガス化学種の使用にも依然としてあてはまる。すなわ
ち、弗素化ガス化学種はアンダーカッティングおよび近
接効果を伴い、比較的広くて浅い溝(grooves or trenc
hes)の形成に限定される。塩素化ガス化学種はプロフ
ィル制御の問題があり、黒色シリコンのような現象を起
こしやすくかつ比較的大きい幾何構造およびバッチエッ
チング系に限定される可能性がある。また、かかる従来
の方法は僅か約400Å/分の最高エッチング速度しか与
えられず、低速度すぎて単一ウェーハ用エッチング装置
(etchers)で十分なスループットを与えることができ
ない。従って、当業が単一ウェーハ用エッチング装置の
エッチング均一性のための潜在能力を十分に利用するこ
とができない。
上記議論から考えて、本発明の1つの目的は制御された
プロフィルを有する深くて狭いシリコン溝の再現可能な
形成に適したシリコンエッチング方法を提供することで
ある。
特に、本発明の1つの目的は鉛直側壁と丸い底エッジと
を特徴とするU形プロフィルを有する深く狭いシリコン
溝を確実にかつ再現可能に生成する単結晶シリコンおよ
びポリシリコンのエッチング方法を提供することであ
る。
本発明のもう1つの目的はシリコン中で高いエッチング
速度でかつ反り、トレンチングまたは黒色シリコンのよ
うな問題を起こすことなく深く狭い、高アスペクト比の
溝(trenches or grooves)を形成するための臭素化物
およびヨウ素化物ガス化学種を用いるエッチング方法を
提供することである。
上記の目的を達成しかつ本質的に清浄な方法であるエッ
チング方法を提供することも本発明の1つの目的であ
る。
本発明のさらにもう1つの目的は上記目的を達成する珪
化物およびポリサイド(polycides)のエッチング方法
を提供することである。
〔問題点を解決するための手段〕
1つの面に於て、本発明は、シリコンまたは珪化物ボデ
ーを、真空室内で、臭素化物(brominate)ガスおよび
ヨウ素化物(iodinate)ガスから選ばれる所定量のガス
からなる反応性ガス混合物から生成されるプラズマに暴
露することからなる方法によって具体化されかつ上記目
的が達成される。好ましくは、エッチング速度、プロフ
ィルおよびイオン衝撃を制御するため、ボデー表面に平
行な磁界が印加される。
反応性ガス混合物は予め選ばれた四弗化珪素のような弗
素化物(Puorinate)gas流でドープされることができる
臭素化物(brominate)エッチングガス臭化水素またはC
F2Br2、あるいは予め選ばれた塩素、四塩化珪素または
塩化水素のような塩素化ガス流でドープされることがで
きるヨウ化物(iodinate)ガスヨウ化水素からなる。ド
ーパントはCF2Br2あるいはSiCl4+SiF4のような弗素化
物+塩素化物ガスの混合物であってもよい。
反応性ガス混合物は方法の結果を改良するため、あるい
は方法の結果への損害を最小にするため、ヘリウム、ア
ルゴン、窒素、水素のような希釈剤ガスを含むことがで
きる。また、臭素化物ベースおよびロウ素化物ベースの
反応性ガス混合物はプロフィルをより好く制御しかつよ
り高い選択性を得るために予め選ばれた酸素流でドープ
されることができる。
本発明の上記の面および他の面は図面に関して説明され
る。
本発明は、好ましくは、高いアスペクト比、制御された
プロフィルを有し、かつ反り、トレンチング(trenchin
g)または黒色シリコンのような現象を起こすことなく
深い溝(grooves and trenches)を形成するために低圧
イオン援助ガス化学種方法に於て臭素化物およびヨウ素
化物ガスHBr(臭化水素)、HI(ヨウ化素)、または別
法では、CF2Br2を用いる。
本発明者らが知る限りでは、過去に於て、シリコンのプ
ラズマエッチングに臭素ガス化学種およびヨウ素ガス化
学種は用いられたことがない。本発明者らの知る限りで
は、1つの例外は臭素化物ガスCF3Brであり、CF3Brは部
分的に臭素化物化学種(3F、1Br)を有すると考えるこ
とができる。しかし、CF3Brの3:1のF:Brは受け入れられ
ない程低い酸化物マスクに対するエッチング選択性を与
える。また、炭素は微粒子および析出物を生じ、特に比
較的僅少の非常に小さい微粒子に対しても敏感なVLSIお
よびULSI回路のためには受け入れることができないよご
れた方法になってしまう。CF3Brはエッチング要求が全
く厳重でない場所でしか適用できないと考えられる。
思いがけなく、本発明者らは、HBr臭素化物およびHIヨ
ウ素化物プラズマ生成性反応成分ガスの使用によって、
エッチングマスクに対する高い選択性を有し、非常に高
いアスペクト比の深い溝と約1μm/分の思いがけなくシ
リコンエッチング速度とを与えることができることを発
見した。本発明の方法は、鋭いエッジ(第2図)、トレ
ンチング(第3図)、V形プロフィル(第4図)および
反り(第5図)を排除するように容易に制御される。酸
化物に対するエッチング選択性が優れている。さらに、
鉛直溝(trench or grooves)側壁12−12と丸い底エッ
ジ14−14とからなる所望のU形プロフィル(第1図)が
容易にかつ再現可能に得られるプロフィル制御が示され
た。加えて、交差汚染(cross−contamination)または
エッチング方法の他の不利益なしに黒色シリコンの問題
を排除するためにSiF4、SF6、NF3のような弗素化物をド
ーパントとして用いることができる。対照的に、方法へ
の交換条件に特別な注意を払わずに塩素化物ガス化学種
を弗素化物でドープすることはむずかしい。
また、臭素化物ベースおよびヨウ素化物ベースの方法は
清浄である。すなわち、CF3Br、CF2Cl2、CCl4、CHXCly
のような通常のガス化学種を単結晶シリコンのエッチン
グに使用するときの再現性に影響を与える可能性がある
微粒子や析出物が無い。また、弗素含有ガスを方法中へ
ドープさせるか、あるいは交差汚染無しに臭素化物また
はヨウ化物化学種中に清掃剤として用いるかすることが
できるので、BCl3、Cl2、SiCl4のようなガスより清浄で
もある。弗化珪素はハロゲン族中で最も揮発性のエッチ
ング生成物であると考えられる。好ましいガスおよび好
ましいドーパントガスは炭素または他のポリマー生成性
成分を含まない。
要約すると、臭化物およびヨウ化物エッチングガス化学
種は、清浄さおよび反り、トレンチング、黒色シリコン
のような現象がないことを特徴とする方法に於て、非常
に小さいフィーチャーサイズ(現在までの所、0.25−0.
5μmぐらいの小さいw)を用いてプロフィル制御問題
が無くかつ非常に高いアスペクト比(230:1)で高いエ
ッチング速度(1μm/分以上の高速度)を与えた。
第6図について説明すると、シリコンウェーハ11中に、
その上に小さい開きすなわち開口34を有し約3000Å/μ
m厚さの酸化物マスク33を用いて溝35をエッチングする
ことによって上記特性が示された。溝35のエッチング
(およびここに記載するすべての処理)は、ディーンL.
アンドリューズ(Dean L.Andrews)、デービッド チ
ェング(David Cheng)、メイ チャング(Mei Chan
g)、ダン メイダン(Dan Maydan)、サッソン ソメ
ク(Sasson Somekh)、ネケス R.スタルダー(Kenneth
R.Stalder)、ジョン M.ホワイト(John M.White),
ジェリー Y.ウオング(Jerry Y.Wong)、ブラジミル
J.ツァイトリン(Vladimir J.Zeitlin)、デービッド
N.K.ワング(David N.K.Wang)名義で本出願と同時に出
願された“磁界増強プラズマエッチングリアクター(Ma
gnetic Field−enhanced Plasm Etch Reactor)”とい
う名称の本出願と同じ譲受人に譲渡された同時係属特許
出願第 号(以下“参照エッチングリアクタ
ー”と称す)に記載(該出願の記載は全体として参照文
として本明細書に含まれるものとする)された、磁界増
強、RF駆動、反応性エッチングリアクターを用いて行わ
れた。選択性、均一性、清浄性のような特性のために、
参照エッチングリアクターが現在の所本発明のエッチン
グ方法のための好ましいものである。米国カリフォルニ
ア州サンタクララ市のアプライド・マテリアルズ、イン
コーポレーション(Applied Materials,Inc.)から発売
の8300システムのような他のプラズマリアクターを本発
明のヨウ素化物−および臭素化物−ベースのエッチング
方法の実施に用いることができる。磁界増強、RIEモー
ド プラズマ エッチング装置はダン メイダン(Dan
Maydan)らの名義で1985年12月30日に出願された“マグ
ネトロン増強プラズマエッチング方法(Magnetron−Enh
anced Plasma Etching Process)”という名称の許可査
定された、同時係属、同一譲受人譲渡米国特許出願第81
4,638号に記載されている。この装置はフォスター(Fos
ter)らの名義で1984年10月25日に出願された“マグネ
トロン増強、プラズマ援助化学蒸着のための装置および
方法(Apparatus and Method for Magnetron−Enhanced
Plasma−Assisted Cemical Vapor Deposition)”とい
う名称の許可査定された、同時係属、同一譲受人譲渡米
国特許出願第664,657号に記載されている磁界増強CVD蒸
着装置の改良である。メイダン(Maydan)らの出願およ
びフォスター(Foster)らの出願に記載されている装置
(reactors)は本発明の実施のために使用することがで
き、従って該出願の記載は参照文として本明細書中に含
まれるものとする。
電気的に制御された多方向性磁界発生装置 参照エッチングリアクターはBがウェーハに平行な×
界を有利に用いるRF付勢、磁界援助リアクターであ
る。加えて、ステップ状、緩徐回転D.C.磁界Bを用いて
エッチング均一性を増強する。
第7図は本発明に用いられる準静的、多方向性D.C.磁界
Bを発生および制御するために参照エッチングリアクタ
ー中で用いられる装置の概略図である。
第7図について説明すると、2個の鉛直電磁石コイル対
40−42および44−46は、それぞれが一般にエッチングリ
アクター室50内のウェーハ支持体/陰極およびウェーハ
48に平行な、相互に垂直な磁界ベクトルyおよびx
を形成する。典型的な例に於て、コンピューター52が回
線または母線54−60を経て制御信号を通常の電源系62−
68へ印加して導体70−76上およびそれぞれ電磁石40−46
へ送られる電流の大きさおよび方向を制御する。連合し
た電流(associated current)が各コイル対によって発
生される磁界の方向および大きさを決定する。
それぞれコイル対40−42および44−46によって発生され
る垂直な磁界ベクトルおよびは Bx=βcosθ (1) By=βsinθ (2) で定義される。
磁界およびその角度配向θの所望または所要値が与え
られると、コンピューター52は独立に方程式(1)およ
び(2)を解いて関連する磁界ベクトルおよび
を得、所望の磁界強度および配向を与えかつこれらの磁
およびを与えるためのコイル40−46内の必要
な電流の印加を制御する。
さらに、コイル内の電流を変化させることによって、角
度配向θおよびこのDC磁界の大きさを所望通りに速やか
にまたはゆっくりと独立に変化させることができる。磁
界が各角度位置にある時間および角度ステッピングの方
向は磁界強度と同様に変化させることができる。これら
のパラメーターはもっぱら電磁石への電流の変化の関数
でありかつコンピューター52によって容易に制御される
からである。かくして、選ばれた配向および時間増分を
用いてウェーハの周りに磁界を階段状に並べることがで
きる。所望ならば、合成磁界θの大きさをプロセスま
たはリアクター配置が要求するように変化させることが
でき、あるいは一定磁界強さを用いることができる。要
するに、電流制御系は一定のまたは変化される角速度の
迅速または緩徐に移動する、一定のまたは変化する強さ
の磁界の多用性を与える。さらに、磁界の配向は段階状
に並べたりあるいは順々に変化される必要はなく、任意
の与えられた配向(または磁界強さ)からもう1つの配
向(または磁界強さ)へ瞬間的に切換えることができ
る。
D.C.磁界の方向および大きさの独立に制御することに於
けるこの多用性は、典型的に60Hzの標準速度のような比
較的高い固定振動数で回転する現存の商業的に有用な回
転磁界とは明らかに異なっている。さらに、例えば2−
5秒/回転(0.008−0.003Hz)の速度で緩徐に“回転”
する能力はアルミニウムまたは金属室内の高振動数の使
用に伴う渦電流損失を防止する。
他のリアクターは上記B発生装置38を組み込むように改
良することができる。
臭化水素 シリコンエッチングに用いられた1つの現存好ましいガ
ス化学種組成はHBr(臭化水素)とSiF4(四弗化珪素)
とO2とHeとから成る。臭化水素主エッチャントガスは高
いエッチング速度を与え、溝がエッチングされつつある
とき側壁上に無機析出物を生成し、高いアスペクト比を
与えかつプロフィルを制御する。四弗化珪素は反応をよ
り揮発性にし、黒色シリコンを抑制しかつエッチング生
成物を除去するのを助ける。さらに、SiF4はウェーハか
らの天然酸化物を除去するために初期清掃工程で単独で
用いることができる。酸素は酸化物マスク33上に酸化物
を生成する。これは酸化物マスクを保護し、エッチング
方法のマスクに対する選択性を増強する。加えて、酸化
物は無機側壁析出物の生成に貢献し、かくしてプロフィ
ル制御および高アスペクト比に貢献する。本発明者らは
酸素が側壁テーパーを制御することをも発見した。すな
わち、酸素流が増すと傾斜側壁(第4図のV形壁26−26
に似ているが直線である)を生成する。傾斜角および得
られたテーパーは酸素流の増加につれて増加した。ヘリ
ウムは希釈剤としてかつ黒色シリコンを減少するために
用いられた。
上述したように酸化物マスクに対して加成的でありかつ
酸化物マスクを保護する酸素ドーパントは非常に薄いマ
スクの使用を可能にすることに注目されたい。すなわち
酸化物マスクの厚さは1μm以下でよい。本発明者らは
1−3μmのような小さい厚さを用いて優れた結果を得
た。かかる超薄型マスクはパターニングが容易で、エッ
チング幾何構造の制御および分解(resolution)ならび
に小フィーチャーサイズをエッチングする能力を増強す
る。
ヨウ化水素 本発明者らはシリコンの主エッチングガスとしてヨウ素
化物ガスヨウ化水素をも用いた。ヨウ素化物ガスは、好
ましくは、塩素、四塩化珪素または塩化水素のような1
種以上の予め選ばれた塩素化物流ならびに予め選ばれた
酸素流で、両方ともに臭化水素に関して説明した目的の
ためにドープされる。塩素化物ドーパントは珪素のエッ
チング速度をも増加する。さらに、ヘリウム、アルゴ
ン、窒素または水素のような希釈剤ガスをヨウ素化物ガ
スベースの反応性エッチングガス組成物を希釈するため
に用いることができる。SiF4、SF6、NF3のような弗素化
ガスの添加は塩素化化学種と同様な目的を果たすためで
あろう。
CF2Br2 臭化水素に加えて、臭素化物エッチングガスCF2Br2をシ
リコンエッチングに用いることができる。上述したよう
に、臭素化物ガスは、好ましくは、予め選ばれた量/流
のSiF4のような弗素化物ガスでかつ上述した目的で予め
選ばれた酸素流でもドープされる。さらに、反応性ガス
混合物はヘリウム、アルゴン、窒素のような希釈剤ガス
を用いて希釈されるこそとできる。
単結晶シリコンのHBrエッチング 第1表について説明すると、HBrエッチングガスを用い
る単結晶シリコンの反応性イオンエッチング(RIE)の
ためのガス流速と他の関連操作パラメーターとの組み合
わせが示してある。 第 1 表 単結晶シリコンのHBrエッチング プロセスパラメーター/結果 範囲/物質 全ガス流、sccm 5−110 1:HBr、sccm 5−50 2:SiF4、sccm 0−15 3:O2、sccm 0−10 4:He、sccm 0−30 室圧力、mT 20−250 RF出力、MHz 13.56 出力密度、watt/cm2 2.4−3.6 磁界強さ、gauss 40−100 磁界回転、秒/回転 2−5 マスク物質 二酸化珪素 マスクに対する選択性 10−∞ シリコンエッチング速度、Å/分 4,000−12,000 開き、w、μm 0.25−100 深さ、d、μm 0.5−30 アスペクト比 20−25 (w=0.25−1.5μmに対して) 5−50sccmのHBr流範囲、0−15sccmの四弗化珪素流範
囲、0−10sccmの酸素範囲、0−30sccmのヘリウム範囲
と約60℃に冷却されるウェーハ支持RF陰極とを用いて、
本発明者らは、現在、約0.25μmのような小さい開き寸
法wを有しかつ20−25の範囲のアスペクト比をもつ溝を
エッチングした。
それぞれ0.25、0.4、1.5μmの溝幅wの特殊な例を考え
て、本発明者らは第1表のプロセスを用いて溝を5μ
m、10μm、30μmの深さdにそれぞれエッチングし、
それによってそれぞれ開きサイズ0.25、0.4、1.5に対し
て5/0.25、10/0.4、30/1.5すなわち20、25、20の関連ア
スペクト比d/wを与えた。本発明者らは10−∞の範囲内
の選択性をも得た。上記の後者の数字はドープされた酸
化物マスクすなわち燐珪酸塩ガラス(PSG)マスクに対
して得られた。
参照エッチングリアクターはガス増強ウェーハー陰極熱
伝導と液体陰極冷却とを併用するのである程度好まし
い。参照磁界増強RF駆動エッチングリアクター38内のウ
ェーハ支持陰極36は例えば20−30℃の温度の水で冷却す
ることができる。ウェーハと水冷陰極との間に約4torr
の実質的に定常圧力でヘリウムガスのようなガスを印加
する。このことによってウェーハと冷却陰極との間に高
速、均一熱伝導が与えられ、それによってウェーハ温度
が、約60℃に保たれる。単一ウェーハを冷却して約2.5
〜3.5watt/cm2の高い出力密度能力を十分に利用するこ
とが重要である。これは通常のRIEエッチング装置の出
力密度より1桁高い大きさである。この高出力密度は、
通常、より速いエッチングおよびより良好なプロフィル
制御の利益がある。冷却は、共に高温で増加する反りお
よび黒色シリコンを防止するのを助けかつその他の点で
はプロフィル制御を容易にする。実例として2−5秒/
回転の低速磁界回転はウェーハ表面にわたって非常に均
一なエッチング速度を促進することも述べて置かねばな
らない。をウェーハ表面に平行にして×を与える
磁界の使用はエッチング特性および制御を増強する。特
に、本明細書中で説明した臭素化物およびヨウ素化物化
学種と組み合わせてこの磁界を使用するとエッチングを
制御しかつウェーハの損傷を少なくする。磁界が増すと
エッチング速度が増し、かくして、磁界を増強しかつRF
出力およびその結果得られるバイアス電圧−Vdcを減少
することによって与えられたエッチング速度が得られ
る。このことはウェーハのイオン衝撃およびデバイス損
傷を減少する。同時に、磁界の増加はエッチングプロフ
ィル制御を助ける。一般に磁界が増加するにつれて、側
壁の保護析出物が厚くなり(酸素源が存在するとき)、
溝プロフィルにより大きいテーパーとより少ない反りと
を与える。磁界を容易に変化させることができるので、
このことは深さが増につれてプロフィルを変化させる能
力を与える。例えば、非常に狭くて深い溝では、次に誘
電体で溝を充填することを容易にするため、より広い溝
口を有することが望ましいことがあり得る。磁界を調節
することによって与えられるテーパー制御は丁度そのよ
うな漏斗形の口が広く胴が狭い溝を可能にする。
現在、約40sccmの全ガス流および20sccmのHBr、5−10
sccmの四弗化珪素、1−4sccmの酸素、5sccmのヘリウム
の関連する個々のガス流および100ミリトール(mT)の
室圧力が正確な再現性と複合された高速度およびプロフ
ィル制御との組み合わせのために好ましい。
しかし、本発明の方法は上に挙げたプロセスパラメータ
ー値および範囲に限定されることは全くない。例えば、
経験に基づいて、上記の方法は25sccmの四弗化珪素流速
および5−500ミリトールの室圧力を用いて容易に実施
されると信じている。さらに、本発明の方法はHBrのよ
うな主ガスエッチャントの流速に対して敏感ではなく、
該流速を上述したものよりずっと高くすることができ
る。
また、上述したように、流速および他のパラメーターは
本明細書に開示したすべての方法のためでありかつ参照
単一ウェーハハッチングリアクターのためである。ま
た、本発明の方法はバッチ式リアクターに採用されるこ
とができ、この場合、バッチ中のウェーハの数に従って
流速比は増加される。
上記の方法は非常に狭い開きwに対してもほぼ無限の選
択性、従って非常に高いアスペクト比を与える。例え
ば、本発明者らは0.25μmの開きを用いて深さ5μmの
溝(アスペクト比Ar=5/0.25=20)、0.4μmの幅の開
きを用いて深さ10μmの溝(アスペクト比10/0.4=2
5)、および1.5μの開きを用いて深さ30μmの溝(Ar=
30/1.5=20)を容易に繰返して得た。しかし、これらは
例としてのみ示したものである。というのは本発明者ら
はこれらの特別な開きの寸法に対してあるいはさらにず
っと小さく、より狭い開きに対して溝の深さおよびアス
ペクト比の限界に達していないからである。さらに、丸
い底プロフィル36(第6図)は確実に得られかつ深さ依
存性ではない。
単結晶シリコンのHIエッチング 第2表はヨウ化水素(HI)を用いる単結晶シリコンのエ
ッチングのためのガス流および他の関連プロセスパラメ
ーターならびに結果を示す。簡単には、約50sccmの全ガ
ス流および10−30sccmのヨウ化水素、10−20sccmの塩
素、0−5sccmの酸素、0−20sccmの四弗化珪素、0−1
0sccmの四塩化珪素の個々のガス流を用いた。表に示し
た高い出力密度および他の操作パラメーターは0.9−1.2
μm/分の非常に高い単結晶シリコンエッチング速度、酸
化物マスク材料に対するほぼ無限の選択性および約5
(d/w=5μ/1μ)のアスペクト比を与えた。
現在の経験は、5−100sccmのヨウ化水素および5−30s
ccmの塩素のおよその範囲内の個々のガス流を、それぞ
れ約10sccm、20sccm、20sccmの関連する最大の酸素、四
弗化珪素、四塩化珪素流と共に容易に使用できることで
ある。
本発明者らの現在好ましいガス化学種は10sccmの塩素お
よび2sccmの酸素でドープされた20sccmのヨウ化水素で
あり、四弗化珪素または四塩化珪素は所要でない。これ
らのパラメーターは正確な再現性および高いエッチング
速度とプロフィル制御との組み合わせを与える。
しかし、本発明の方法は上記のプロセスパラメーター値
および範囲に全く限定されない。例えば、経験に基づく
と、上記の方法は、参照エッチングリアクターで、25sc
cmの四弗化珪素流速および5−500ミリトールの室圧力
を用いて容易に実施することができると信ずる。本発明
の方法は主ガスエッチャントHIの流速に敏感ではなく、
上で挙げたものよりずっと高い流速で用いることができ
る。また、上述したように、ガス流速比を含むパラメー
ターは他のエッチングリアクターに容易に採用され得
る。さらに、本発明の方法はバッチ式リアクターに採用
されることができ、その場合にはバッチ中のウェーハの
数に従って流速比が増加される。 第 2 表 単結晶シリコンのHIエッチング プロセスパラメーター/結果 範 囲 全ガス流、sccm 5 1:HI、sccm 10−30 2:Cl2、sccm 10−20 3:O2、sccm 0−5 4:SiF4、sccm 0−20 5:SiCl4、sccm 室圧力、mT 5−200 RF出力、MHz 13.56 出力密度、watt/cm2 2.4−3.6 磁界強さ、gauss 50−90 磁界回転、秒/回転 1.9−3.6 マスク材料 二酸化珪素 マスクに対する選択性 ∞ シリコンエッチング速度、μm/分 0.9−1.2 アスペクト比 〜10 他の臭素化物化学種 上記結果から、HIおよびHBrは好ましい臭素化物および
ヨウ素化物化学種である。ヨウ化水素は唯一の既知のヨ
ウ素化物ガスである。他の臭素化物化学種BrF5、BrF3
C2F3Br、CF3Br、CF2Br2、C2Br2F4、CH3Br、C2H3Brは炭
素または炭化水素を含み、信頼できないエッチング方法
を与え、ウェーハおよびリアクター上ならびに溝(groo
ve or trench)内に有機ポリマーが生成する。このこと
は溝の深さを限定しかつ“汚れた”方法を与える。これ
らの臭素化物ガスのほとんどは弗素(より正確には高い
弗素:臭素比)を含み、結果として、酸化物マスクに対
する選択性が小さい。
上に挙げた他の臭素化物ガスの中で、BrF5とBrF3は恐ら
くエッチャントとして“最良”の選択物である。しか
し、これらのガスは両方とも非常に高いF:Br比(BrF5
は5:1、BrF3では3:1)を有し、共に高度に有毒であり、
かつBrF3の蒸気圧は非常に低く、すなわち本質的に液体
として存在する。
上で示したように、シリコン中の狭く深い溝のような小
フィーチャーのエッチングのためにはCF2Br2はHIまたは
HBrほど望ましくはない。主として、これは炭素と弗素
とを含んでいる結果である。しかし、F:Br比が比較的低
い(1:1)ので、CF2Br2は好ましいHIおよびHBrガスよ
りも低アグレッシブ性(幅広い)幾何構造に対して有用
であろう。
単結晶シリコンのCF2Br2エッチング 単結晶シリコンをエッチングするためにCF2Br2を用い、
20−90sccmの全ガス流および10−30sccmのCF2Br2、0−
20sccmの四弗化珪素、0−20sccmのCF3Br、10−20sccm
の酸素の個々のガス流を50−300mTの室圧力で用いた。
得られた高エッチング速度は5,000−10,000Å/分であ
った。酸化珪素マスクに対する選択性は約5−10:1であ
った。1μmの溝幅wに対して5μmの溝深さが得ら
れ、関連アスペクト比5/1を与えた。現在のところ、正
確な再現性、高いエッチング速度およびプロフィル制御
の組み合わせのためには30sccmのCF2Br2、10sccmの四弗
化珪素、10sccmの酸素の個々のガス流速、100mTの室圧
力、3watt/cm2の出力密度、90gausの磁界が好ましい。
現在の経験は、約10−50sccmのCF2Br2ガス流速範囲、30
sccmの四弗化珪素、20sccmの酸素、30sccmのCF3Brの最
高ドーパント流速が5−500mTの範囲内の室圧力と共に
用いられることができかつ上述の有効な結果を与えるこ
とを示している。 第 3 表 単結晶シリコンRIEエッチングのCF2Br2エッチング プロセスパラメーター/結果 範 囲 全ガス流、sccm 20−90 1:CF2Br2、sccm 10−30 2:SiF4、sccm 0−20 3:O2、sccm 10−20 4:CF3Br、sccm 0−20 室圧力、mT 50−300 出力密度、watt/cm2 2.4−3.6 磁界強さ、gaus 50−90 磁界回転、秒/回転 2−5 マスク物質 二酸化珪素 マスクに対する選択性 5−10:1 シリコンエッチング速度、Å/分 5,000−10,000 アスペクト比 5:1(5μm/1μm) 多結晶シリコン エッチング 第4表および第5表は、それぞれ主エッチングガス臭化
水素およびヨウ化水素を用いて多結晶シリコンをエッチ
ングするために用いられた好ましい流速および他の操作
パラメーターを示す。薄い二酸化珪素基層(underlaye
r)上に厚さ約500Åのポリ層(poly layer)を形成させ
た。この構造はMOSゲート構造ならびにMOSおよびディジ
タル多重層導体構造にしばしば用いられる。開口を有す
るフォトレジストマスクがポリシリコン中に溝をエッチ
ングするためのパターンを提供した。
第4表および第5表に示すように、臭化水素およびヨウ
化水素は、両方共に酸化物基層38に対して50:1の非常に
優れた選択性をもって、3,000−5,000Å/分の比較的高
いエッチング速度(通常のRIEバッチ系エッチング速度
は約400−1,000Å/分である)でシリコンをエッチング
する。ヨウ化水素は約2.5:1のマスクに対する選択性を
与えたが臭化水素はそれより高く、4:1−8:1の範囲内の
マスクに対する選択性を与えた。
上記の範囲は例として与えただけである。有効な結果は
これらの範囲だけに限定されないことは本発明者らの現
在の経験から明らかである。さらに、HBrおよびHIエッ
チング化学種のフォトレジストマスクに対する選択性は
高圧および(または)低出力を用いることによって一層
さらに増加されることができ、すなわちアンダーカッテ
ィングが無い方法は一層さらに増強され得る。 第 4 表 多結晶シリコンのHBrエッチング プロセスパラメーター/結果 範 囲 全ガス流、sccm 10−110 1:HBr、sccm 10−75 2:SiF4、sccm 0−10 3:Cl2、sccm 0−15 4:O2、sccm 0−10 室圧力、mT 10−200 出力密度、watt/cm2 2.5−4 磁界強さ、gaus 50−90 磁界回転、秒/回転 1.9 マスク物質 フォトレジスト 基層材料 二酸化珪素 マスクに対する選択性 4−8:1 下層に対する選択性 50:1 シリコンエッチング速度、Å/分 3,000−5,000 第 5 表 多結晶シリコンのHIエッチング プロセスパラメーター/結果 範 囲 全ガス流、sccm 10−45 1:HI、sccm 10−20 2:Cl2、sccm 0−20 3:O2、sccm 0−5 室圧力、mT 20−200 出力密度、watt/cm2 2.4−3.6 磁界強さ、gaus 50−90 磁界回転、秒/回転 1.9 マスク材料 フォトレジスト 基層材料 二酸化珪素 マスクに対する選択性 2.5 基層に対する選択性 50:1 シリコンエッチング速度、Å/分 3,000−5,000 ワング(Wang)らの名義で1985年10月11日に出願された
“珪化物、多結晶シリコン、ポリサイドをエッチングす
るための材料および方法”という名称の同時係属、同一
譲受人譲渡、米国特許出願第786,783号(該出願はその
全体が参照文として本明細書に含まれるものとする)は
耐火金属チタン、タンタル、タングステン、アルミニウ
ムの珪化物をエッチングするためおよび多結晶シリコン
層上のこれらの珪化物の複合物をエッチングするための
ガス化学種および関連するRIE形成方法に関する。この
米国出願には次のように開示されている。主エッチング
ガス組成はHCl/Cl2である。HCl/Cl2ガス化学種はポリシ
リコンエッチング工程で用いられ、BCl3含有HCl/Cl2
ス化学種は珪化物のエッチングに用いられ、かくして真
空を破ることなく同じ室内で本質的に連続な珪化物およ
びポリシリコンエッチング方法を与える。HCl2/Cl2ガス
化学種はゲート酸化物層のような下にある酸化物に対す
る選択性をもって下にあるポリシリコンの高速異方性エ
ッチングを与える。弗素化ガスおよび酸素から選ばれる
ドーパントガスの比較的小容量で選択的にドープされた
BCl3含有HCl/Cl2ガス化学種はすべての4種の耐火金属
珪化物を異方性にエッチングするばかりでなく、珪化物
−ポリシリコンの所要な高エッチング速度比を与えて清
浄な無フィラメントエッチングを与える。さらに、BCl3
/HCl/Cl2ガス化学種は有機フォトレジストに対する高い
選択性と所望な酸化物エッチング能力(etchability)
とを与える。より一般的に言えば、上記方法は異方性オ
リサイドプロフィル、最小線幅損失、清浄性、均一性及
び再現性の諸要件にかなう。ポリシリコンに加えて耐火
珪化物のエッチングに於けるこの酸素、弗素化ガスでド
ープした塩素化ガス化学種の有効性ならびにポリシリコ
ンおよび単結晶シリコンのエッチングに於て本発明の酸
素、弗素化物でドープしたおよび塩素化物でドープした
臭素化物およびヨウ素化物ガス化学種によって得られる
優れた結果に基づいて、当業者はチタン、タンタル、タ
ングステン、アルミニウムの珪化物をエッチングするた
めおよびシリコン層上のこれらの珪化物の複合物をエッ
チングするため、ガス流速範囲を含む特殊な操作パラメ
ーターを容易に展開するであろう。
かくして、本発明者らは反応性イオンエッチングを用い
て単結晶シリコン中に高アスペクト比のU形溝を形成す
るための好ましい方法を説明した。本発明者らはプロセ
スパラメーターの有効範囲およびエッチャントガス組成
のようなパラメーターの範囲をも説明した。本明細書、
特許請求の範囲および図面中に含まれる説明に基づい
て、本発明の特許請求の範囲によって定義される本発明
の範囲内にある多くの面の中で当業者は本発明を容易に
変更するであろう。
【図面の簡単な説明】
第1図は好ましいU形シリコン溝プロフィルの概略図で
あり、 第2図〜第5図は他の望ましくないかあるいは望ましい
頻度が少ない溝プロフィルの概略図であり、 第6図は本発明の方法を用いる単結晶シリコンのエッチ
ングの概略図であり、 第7図は本発明の方法に用いられる準静的、多方向性、
緩徐回転磁界を発生させるための1つの好ましい装置を
示す。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 メイ チャン アメリカ合衆国 カリフォルニア州 95132サン ホセ イサドラ ドライヴ 3366 (72)発明者 アルフレッド ダブリュー エスマック アメリカ合衆国 カリフォルニア州 94587ユニオン シティー コーニング コート33020 (72)発明者 ダン メイダン アメリカ合衆国 アリフォルニア州 94022ロス アルトス ヒルズ ムーリエ ッタレーン 1200 (56)参考文献 特開 昭52−9648(JP,A) 特開 昭58−100684(JP,A) 特開 昭61−278145(JP,A) 特開 昭59−6543(JP,A)

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】常圧以下の圧力の室内においてシリコンボ
    デーの表面を異方性反応性イオンエッチングしてU形で
    高アスペクト比のオープニングを形成する方法であっ
    て:臭化水素、ヨウ化水素及びCF2Br2から選ばれるエッ
    チングガスを含む反応性ガス混合物を該室内へ通過させ
    ることによって生成されるプラズマへマスクキングされ
    たシリコンボデーを暴露すること;及び該シリコン表面
    に概して平行な磁界を印加することを含む方法。
  2. 【請求項2】エッチングの均一性のために磁界をシリコ
    ン表面に平行に保ちながらゆっくり回転させる特許請求
    の範囲第(1)項記載の方法。
  3. 【請求項3】エッチングプロフィルを制御するために磁
    界の大きさが選択される特許請求の範囲第(2)項記載
    の方法。
  4. 【請求項4】エッチング速度を制御するために磁界の大
    きさが選択される特許請求の範囲第(2)項記載の方
    法。
  5. 【請求項5】主要なエッチングガスが臭化水素である特
    許請求の範囲第(1)項記載の方法。
  6. 【請求項6】主要なエッチングガスがヨウ化水素である
    特許請求の範囲第(1)項記載の方法。
  7. 【請求項7】反応性ガスが所定量の弗素化物ガスでドー
    プされた主要なエッチングガスを含む混合物である特許
    請求の範囲第(5)項または第(6)項記載の方法。
  8. 【請求項8】反応性ガスが、1種以上の所定量の塩素化
    物ガスでドープされた主要なエッチングガスを含む混合
    物である特許請求の範囲第(5)項または第(6)項記
    載の方法。
  9. 【請求項9】反応性ガス混合物がさらに希釈剤ガスを含
    む特許請求の範囲第(7)項または第(8)項記載の方
    法。
  10. 【請求項10】反応性ガス混合物が1種以上の所定量の
    酸素でドープされている特許請求の範囲第(7)項また
    は第(8)項記載の方法。
  11. 【請求項11】弗素化物ガスがSiF4である特許請求の範
    囲第(7)項記載の方法。
  12. 【請求項12】主要なエッチングガスがCF2Br2である特
    許請求の範囲第(1)項記載の方法。
JP62321179A 1986-12-19 1987-12-18 シリコンおよび珪化物のための臭素およびヨウ素エッチング方法 Expired - Fee Related JPH0793291B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94449186A 1986-12-19 1986-12-19
US944491 1986-12-19

Publications (2)

Publication Number Publication Date
JPS63278339A JPS63278339A (ja) 1988-11-16
JPH0793291B2 true JPH0793291B2 (ja) 1995-10-09

Family

ID=25481506

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62321179A Expired - Fee Related JPH0793291B2 (ja) 1986-12-19 1987-12-18 シリコンおよび珪化物のための臭素およびヨウ素エッチング方法

Country Status (5)

Country Link
US (2) US5874362A (ja)
EP (2) EP0272143B1 (ja)
JP (1) JPH0793291B2 (ja)
AT (1) ATE177877T1 (ja)
DE (1) DE3752259T2 (ja)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
JPH088239B2 (ja) * 1988-02-02 1996-01-29 富士電機株式会社 Ecrプラズマ装置
KR930001500B1 (ko) * 1988-02-09 1993-03-02 후지쓰 가부시끼가이샤 취화수소 또는 취소로 건식 식각하는 방법
US5316616A (en) * 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5007982A (en) * 1988-07-11 1991-04-16 North American Philips Corporation Reactive ion etching of silicon with hydrogen bromide
JPH0289310A (ja) * 1988-09-27 1990-03-29 Fujitsu Ltd シリコン層のエッチング方法
EP0414372A3 (en) * 1989-07-21 1991-04-24 Sony Corporation Dry etching methods
US5188704A (en) * 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
EP0424299A3 (en) * 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
JP2591209B2 (ja) * 1990-01-22 1997-03-19 ソニー株式会社 ドライエッチング方法
EP0450302A1 (en) * 1990-04-03 1991-10-09 International Business Machines Corporation Method of reactive ion etching trenches
US5118384A (en) * 1990-04-03 1992-06-02 International Business Machines Corporation Reactive ion etching buffer mask
US5298790A (en) * 1990-04-03 1994-03-29 International Business Machines Corporation Reactive ion etching buffer mask
JP3127454B2 (ja) * 1990-08-08 2001-01-22 ソニー株式会社 シリコン系被エッチング材のエッチング方法
JP3729869B2 (ja) * 1990-09-28 2005-12-21 セイコーエプソン株式会社 半導体装置の製造方法
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5560804A (en) * 1991-03-19 1996-10-01 Tokyo Electron Limited Etching method for silicon containing layer
JP2920848B2 (ja) * 1991-03-19 1999-07-19 東京エレクトロン株式会社 シリコン層のエッチング方法
DE4219592C2 (de) * 1991-06-17 2001-12-06 Gold Star Electronics Verfahren zur Ausbildung eines Graben-Isolationsbereichs mittels einer Reaktionsschicht
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
AU2683995A (en) * 1994-09-02 1996-03-27 Stichting Voor De Technische Wetenschappen Process for producing micromechanical structures by means of reactive ion etching
KR980005793A (ko) * 1996-06-12 1998-03-30 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5763309A (en) * 1996-06-24 1998-06-09 Macronix International Co., Ltd. Self-aligned isolation and planarization process for memory array
US5843226A (en) * 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
JPH10144668A (ja) * 1996-11-14 1998-05-29 Tokyo Electron Ltd プラズマ処理方法
AU6037698A (en) * 1997-01-22 1998-08-07 California Institute Of Technology Gas phase silicon etching with bromine trifluoride
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
TW351837B (en) * 1997-10-29 1999-02-01 United Semiconductor Corp Method for improving etching process
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
KR100271763B1 (ko) * 1997-12-05 2001-02-01 윤종용 폴리실리콘식각방법및그식각장치
US6096657A (en) * 1998-03-31 2000-08-01 Imec Vzw Method for forming a spacer
EP0951060A1 (en) * 1998-03-31 1999-10-20 Interuniversitair Microelektronica Centrum Vzw Method of manufacturing a bipolar transistor using a sacrificial sidewall spacer
EP0948039A1 (en) * 1998-03-31 1999-10-06 Interuniversitair Micro-Elektronica Centrum Vzw Method of manufacturing a bipolar transistor using a sacrificial sidewall spacer
US6380039B2 (en) 1998-05-06 2002-04-30 Interuniversitair Microelektronica Centrum (Imec Vzw) Method for forming a FET having L-shaped insulating spacers
WO1999067817A1 (en) 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
US6074954A (en) * 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6635335B1 (en) * 1999-06-29 2003-10-21 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
EP1077475A3 (en) 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
US6790374B1 (en) 1999-11-18 2004-09-14 Chartered Semiconductor Manufacturing Ltd. Plasma etch method for forming plasma etched silicon layer
US6833079B1 (en) 2000-02-17 2004-12-21 Applied Materials Inc. Method of etching a shaped cavity
US6544860B1 (en) * 2000-03-06 2003-04-08 Koninklijke Philips Electronics N.V. Shallow trench isolation method for forming rounded bottom trench corners
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6358859B1 (en) * 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP3920015B2 (ja) * 2000-09-14 2007-05-30 東京エレクトロン株式会社 Si基板の加工方法
US6680232B2 (en) * 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
US6921725B2 (en) * 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US20040021741A1 (en) * 2002-07-30 2004-02-05 Ottenheimer Thomas H. Slotted substrate and method of making
US6666546B1 (en) * 2002-07-31 2003-12-23 Hewlett-Packard Development Company, L.P. Slotted substrate and method of making
US6921490B1 (en) 2002-09-06 2005-07-26 Kotura, Inc. Optical component having waveguides extending from a common region
US6706586B1 (en) 2002-10-23 2004-03-16 International Business Machines Corporation Method of trench sidewall enhancement
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
DE10333995B4 (de) 2003-07-25 2018-10-25 Robert Bosch Gmbh Verfahren zum Ätzen eines Halbleitermaterials
DE102004034223B3 (de) * 2004-07-15 2006-04-27 Infineon Technologies Ag Verfahren zum Trockenätzen
JP4488999B2 (ja) * 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
US7666319B1 (en) * 2005-11-01 2010-02-23 Miradia Inc. Semiconductor etching process to release single crystal silicon mirrors
KR100827538B1 (ko) * 2006-12-28 2008-05-06 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8304829B2 (en) * 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8174067B2 (en) * 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8227855B2 (en) * 2009-02-09 2012-07-24 Fairchild Semiconductor Corporation Semiconductor devices with stable and controlled avalanche characteristics and methods of fabricating the same
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US8049276B2 (en) 2009-06-12 2011-11-01 Fairchild Semiconductor Corporation Reduced process sensitivity of electrode-semiconductor rectifiers
JP5537324B2 (ja) * 2010-08-05 2014-07-02 株式会社東芝 半導体装置の製造方法
JP5696543B2 (ja) * 2011-03-17 2015-04-08 セイコーエプソン株式会社 半導体基板の製造方法
US20130187159A1 (en) 2012-01-23 2013-07-25 Infineon Technologies Ag Integrated circuit and method of forming an integrated circuit
US9515089B1 (en) 2015-05-14 2016-12-06 International Business Machines Corporation Bulk fin formation with vertical fin sidewall profile
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
US9627263B1 (en) 2015-11-30 2017-04-18 International Business Machines Corporation Stop layer through ion implantation for etch stop
KR102504833B1 (ko) 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1156004A (en) * 1966-06-27 1969-06-25 Leonard Eric Newens Gas Etching for Semiconductor Material.
US3925120A (en) * 1969-10-27 1975-12-09 Hitachi Ltd A method for manufacturing a semiconductor device having a buried epitaxial layer
US3669774A (en) * 1969-11-20 1972-06-13 Rca Corp Low temperature silicon etch
JPS4859094A (ja) * 1971-11-29 1973-08-18
US4026742A (en) * 1972-11-22 1977-05-31 Katsuhiro Fujino Plasma etching process for making a microcircuit device
US4141765A (en) * 1975-02-17 1979-02-27 Siemens Aktiengesellschaft Process for the production of extremely flat silicon troughs by selective etching with subsequent rate controlled epitaxial refill
US3994793A (en) * 1975-05-22 1976-11-30 International Business Machines Corporation Reactive ion etching of aluminum
JPS5814507B2 (ja) * 1975-07-09 1983-03-19 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション シリコンを選択的にイオン食刻する方法
US4184909A (en) * 1978-08-21 1980-01-22 International Business Machines Corporation Method of forming thin film interconnection systems
US4297241A (en) * 1980-03-21 1981-10-27 Union Carbide Corporation Method of preparing an olefin hydration catalyst
JPS56137635A (en) * 1980-03-31 1981-10-27 Toshiba Corp Ion etching method
NL8004005A (nl) * 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
DE3175576D1 (en) * 1980-12-11 1986-12-11 Toshiba Kk Dry etching device and method
JPS57115438A (en) * 1981-01-07 1982-07-17 Sumitomo Chem Co Ltd Polyethylene pipe resistant to water containing halogen
JPS57205981A (en) * 1981-06-15 1982-12-17 Matsushita Electric Works Ltd Plug for wiring duct
US4351696A (en) * 1981-10-28 1982-09-28 Fairchild Camera & Instrument Corp. Corrosion inhibition of aluminum or aluminum alloy film utilizing bromine-containing plasma
DE3216823A1 (de) * 1982-05-05 1983-11-10 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von strukturen von aus metallsilizid und polysilizium bestehenden doppelschichten auf integrierte halbleiterschaltungen enthaltenden substraten durch reaktives ionenaetzen
US4422897A (en) * 1982-05-25 1983-12-27 Massachusetts Institute Of Technology Process for selectively etching silicon
JPS596543A (ja) * 1982-07-05 1984-01-13 Hitachi Ltd エツチング方法および装置
US4450042A (en) * 1982-07-06 1984-05-22 Texas Instruments Incorporated Plasma etch chemistry for anisotropic etching of silicon
JPS58100684A (ja) * 1982-11-26 1983-06-15 Nippon Telegr & Teleph Corp <Ntt> ドライ・エツチング方法
US4505782A (en) * 1983-03-25 1985-03-19 Lfe Corporation Plasma reactive ion etching of aluminum and aluminum alloys
US4431477A (en) * 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4668729A (en) * 1983-12-15 1987-05-26 Asahi Kasei Kogyo Kabushiki Kaisha Process for compression molding of thermoplastic resin and moldings molded by said process
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
US4502915B1 (en) * 1984-01-23 1998-11-03 Texas Instruments Inc Two-step plasma process for selective anisotropic etching of polycrystalline silicon without leaving residue
DE3580953D1 (de) * 1984-08-31 1991-01-31 Anelva Corp Entladungsvorrichtung.
KR900005347B1 (ko) * 1984-09-19 1990-07-27 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리장치
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61127129A (ja) * 1984-11-26 1986-06-14 Fujitsu Ltd 半導体装置の製造方法
US4581099A (en) * 1985-01-30 1986-04-08 Canon Kabushiki Kaisha Method for preparation of a photosensor
US4657628A (en) * 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4702795A (en) * 1985-05-03 1987-10-27 Texas Instruments Incorporated Trench etch process
US4613400A (en) * 1985-05-20 1986-09-23 Applied Materials, Inc. In-situ photoresist capping process for plasma etching
EP0203560A1 (en) * 1985-05-31 1986-12-03 Tegal Corporation Plasma trench etch
US4624728A (en) * 1985-06-11 1986-11-25 Tegal Corporation Pin lift plasma processing
JPS629633A (ja) * 1985-07-08 1987-01-17 Hitachi Ltd エツチング方法
US4623417A (en) * 1985-08-23 1986-11-18 Texas Instruments Incorporated Magnetron plasma reactor
US4632719A (en) * 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
US4689871A (en) * 1985-09-24 1987-09-01 Texas Instruments Incorporated Method of forming vertically integrated current source
US4648938A (en) * 1985-10-11 1987-03-10 The United States Of America As Represented By The United States Department Of Energy Composition/bandgap selective dry photochemical etching of semiconductor materials
US4648936A (en) * 1985-10-11 1987-03-10 The United States Of America As Represented By The United States Department Of Energy Dopant type and/or concentration selective dry photochemical etching of semiconductor materials
JPH0783010B2 (ja) * 1985-12-20 1995-09-06 株式会社日立製作所 エツチング方法
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4698900A (en) * 1986-03-27 1987-10-13 Texas Instruments Incorporated Method of making a non-volatile memory having dielectric filled trenches
EP0246514A3 (en) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Deep trench etching of single crystal silicon
JPS62271432A (ja) * 1986-11-28 1987-11-25 Toshiba Corp ドライエツチング装置
US5007982A (en) * 1988-07-11 1991-04-16 North American Philips Corporation Reactive ion etching of silicon with hydrogen bromide
JPH05179995A (ja) * 1991-12-27 1993-07-20 Hitachi Ltd ガスタービン燃焼器の火炎診断装置

Also Published As

Publication number Publication date
DE3752259T2 (de) 1999-10-14
ATE177877T1 (de) 1999-04-15
EP0272143A2 (en) 1988-06-22
DE3752259D1 (de) 1999-04-22
US5874362A (en) 1999-02-23
JPS63278339A (ja) 1988-11-16
EP0565212A3 (ja) 1994-01-26
US6020270A (en) 2000-02-01
EP0565212A2 (en) 1993-10-13
EP0272143B1 (en) 1999-03-17
EP0272143A3 (en) 1990-09-05

Similar Documents

Publication Publication Date Title
JPH0793291B2 (ja) シリコンおよび珪化物のための臭素およびヨウ素エッチング方法
US5007982A (en) Reactive ion etching of silicon with hydrogen bromide
US5431772A (en) Selective silicon nitride plasma etching process
US5624582A (en) Optimization of dry etching through the control of helium backside pressure
US5354421A (en) Dry etching method
US6008139A (en) Method of etching polycide structures
JPH0927483A (ja) 酸化物層のエッチング方法
JPS6352118B2 (ja)
JPH011236A (ja) 選択的薄膜エッチング法及びそれに使用するガス混合物
JPH1092798A (ja) 単結晶シリコンのエッチング方法
JP2011211225A (ja) デュアルドープゲートの用途におけるプロフィル制御とn/pローディングを改善する方法
US5320708A (en) Dry etching method
JP4165916B2 (ja) テーパプロファイルエッチング方法
JP2003533042A (ja) 高選択性のsacのエッチングの方法
US6372634B1 (en) Plasma etch chemistry and method of improving etch control
EP0820093A1 (en) Etching organic antireflective coating from a substrate
US5387312A (en) High selective nitride etch
JP3198538B2 (ja) ドライエッチング方法
JP3440735B2 (ja) ドライエッチング方法
JPH10150019A (ja) フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
JP3094470B2 (ja) ドライエッチング方法
JP2001127050A (ja) 連続プラズマによる高融点金属上のアルミニウムのエッチング
WO1999039382A1 (en) Process for ashing organic materials from substrates
JP2591209B2 (ja) ドライエッチング方法
JPH053178A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees