TWI381440B - 用以去除晶圓之斜邊與背側上之薄膜的設備及方法 - Google Patents

用以去除晶圓之斜邊與背側上之薄膜的設備及方法 Download PDF

Info

Publication number
TWI381440B
TWI381440B TW095135720A TW95135720A TWI381440B TW I381440 B TWI381440 B TW I381440B TW 095135720 A TW095135720 A TW 095135720A TW 95135720 A TW95135720 A TW 95135720A TW I381440 B TWI381440 B TW I381440B
Authority
TW
Taiwan
Prior art keywords
substrate
edge electrode
plasma
ring
processing chamber
Prior art date
Application number
TW095135720A
Other languages
English (en)
Other versions
TW200721302A (en
Inventor
Yunsang Kim
Iii Andrew D Bailey
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=37820632&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI381440(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US11/237,327 external-priority patent/US20070068623A1/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200721302A publication Critical patent/TW200721302A/zh
Application granted granted Critical
Publication of TWI381440B publication Critical patent/TWI381440B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

用以去除晶圓之斜邊與背側上之薄膜的設備及方法
一般而言,本發明係關於基板製造技術,更具體而言,係關於自基板之斜邊及背側移除蝕刻副產物的設備及方法。
在基板(例如,半導體基板或晶圓,或平面顯示器製造中所使用之玻璃板)製程中常使用電漿。在基板製程中,將基板(或晶圓)分割為複數個晶粒或矩形區域。複數晶粒中的每一者皆會變成積體電路。接著,在一連串的步驟中處理基板以選擇性地移除(或蝕刻)材料及沈積材料。由於自目標閘極長度偏移的每一奈米都可能會直接影響到該些裝置的操作速度及/或可操作性,所以首要的考量是將電晶體閘極的關鍵尺寸(CD)控制在數個奈米的階次。
通常在蝕刻前會在基板上塗佈一層經硬化之感光乳劑的薄膜(例如光阻遮罩)。接著選擇性地移除經硬化之感光乳劑層的區域,而裸露其部分下層。接著將基板放置到電漿處理室中的基板支持結構上。然後將一組適當的電漿氣體弟入至處理室,產生電漿以蝕刻基板的裸露區域。
在蝕刻處理期間,蝕刻副產物(例如,由碳(C)、氧(O)、氮(N)、氟(F)等所構成的聚合物)通常形成在接近基板邊緣(或斜邊)之上側及下表面上。蝕刻電漿的密度通常在基板邊緣較低,導致了聚合物副產物在基板斜邊的上側及下表面上累積。通常在靠近基板邊緣(例如,離基板邊緣約5 mm至約15mm處)並無晶粒。然而,由於數次不同的蝕刻處理相繼地將副產物聚合物層沈積至斜邊的上側及下表面上,於是到最後,在接續處理步驟期間通常堅固且黏著的有機鍵結會減弱。接著,形成在基板邊緣之上側及下表面附近的聚合物層通常會在基板傳送期間撕離或剝落到另一片基板上。例如,通常藉由實質上潔淨的容器(一般稱為晶舟)在電漿處理系統之間移動成組的基板。當較高位置的基板被重新放置到該容器中時,副產物粒子(或薄片)可能會掉落到具有晶粒的較下層基板上,而可能會影響裝置良率。
由於蝕刻處理期間的污染或處置,每一種副產物亦可能會沈積至基板支持件的背側上。由於基板的背側並未暴露至蝕刻電漿,因此形成在背側上的副產物聚合物無法在接續的蝕刻處理步驟期間被移除。因此,副產物聚合物層亦會以類似於基板邊緣之上側及下表面附近之聚合物層的累積方式來累積在基板背側,並導致微粒問題。此外,處理室之內部(如腔室壁)亦會累積蝕刻副產物聚合物,吾人需定期移除該些聚合物以防止副產物累積及腔室粒子的問題。
介電膜(如SiN及SiO2 )及金屬膜(如Al及Cu)亦會沈積在斜邊(包含上側及下表面)上,且並無於蝕刻處理期間被移除。在接續的處理步驟期間該些膜亦會累積及剝落,藉此影響裝置良率。
考慮到上述觀點,吾人需要一種設備及方法,其可對基板斜邊附近的蝕刻副產物、介電膜及金屬膜提供較佳的移除機制,並且蝕刻基板背側上及腔室內部之副產物,以防止聚合物副產物及沈積膜之累積並改善製程良率。
總括而言,本發明之實施例藉著對基板斜邊附近的蝕刻副產物、介電膜及金屬膜以及基板背側與腔室內部的蝕刻副產物提供較佳的移除機制,來滿足防止聚合物副產物及沈積膜累積的需求以及改善製程良率的需求。應注意:可以數種方式來施行本發明,包含製程、設備或系統。以下將闡述本發明之數個新穎實施例。
在一實施例中,提供一種電漿蝕刻處理室,用以清理基板斜邊。該處理室包含圍繞電漿處理室中之基板支持件的下緣電極,其中該基板支持件係用以接收基板,而該下緣電極及該基板支持件係藉由下介電環來彼此電絕緣。該處理室亦包含圍繞該基板支持件對面之氣體分配板的上緣電極,其中該上緣電極及該氣體分配板係藉由上介電環來彼此電絕緣,而該上緣電極及該下緣電極係用以產生清理電漿以清理基板斜邊。
在另一實施例中,提供一種具有遠端電漿源的處理室,該遠端電漿源係耦合至基板支持件以清理處理室之腔室內部。該處理室包含基板支持件以及耦合至基板支持件之遠端電漿,其中該遠端電漿源供給清理電漿以清理處理室之腔室內部。
在另一實施例中,提供一種在電漿蝕刻處理室中清理基板斜邊的設備。該設備包含:基板支持件,供基板放置於上;罩蓋板,在清理斜邊期間覆蓋該基板表面的中央部分並使該基板的斜邊部分裸露。該設備亦包含罩蓋板支持組件以支持該基板上的該罩蓋板。
在更另一實施例中,提供一種在蝕刻處理室中清理基板斜邊的方法。該方法包含:將基板放置到處理室中的基板支持件上;使清理氣體(或清理處理氣體)流過氣體分配板之中央附近的氣體饋送件,該氣體分配板與該基板支持件間有一距離。該方法亦包含:在該基板之斜邊附近產生清理電漿以清理斜邊,該電漿係利用RF電源供電至下緣電極或上緣電極以及使未受RF電源供電的邊緣電極接地所產生,其中該下緣電極圍繞基板支持件而該上緣電極圍繞氣體分配板。
由下列結合附圖且以本發明之例示性原理闡述之詳細說明,本發明之其他態樣及優點將愈形清晰。
本發明提供數個例示性實施例,對基板斜邊附近的蝕刻副產物、介電膜及金屬膜以及基板背側與腔室內部的蝕刻副產物提供較佳的移除機制,以防止聚合物副產物及膜累積並改善製程良率。熟知此項技藝者應瞭解:在不使用下列所舉出之部份細節或全部細節的情況下仍可實施本發明。
圖1A顯示蝕刻處理系統100的一實施例。處理室100具有基板支持件140,基板150係放設於基板支持件140上。在一實施例中,基板支持件140為靜電夾頭,由RF(射頻)電源(未圖示)來供電。在另一實施例中,基板支持件140為普通電極。基板支持件140可為具DC(直流)或RF偏壓。基板支持件140之對面為具有氣體饋送件161的氣體分配板160。基板支持件亦可由RF供電、施加偏壓或接地。在蝕刻基板150期間,處理室100可藉由RF供電以產生電容耦合蝕刻電漿或感應耦合蝕刻電漿。如圖1A之區域B、圖1AA中之放大區域B所示,基板150具有斜邊117,斜邊117包含基板邊緣之上側及下表面。在圖1AA中,以粗體實線及曲線標示出斜邊117。
由導電材料(如鋁,Al)所構成之下緣電極120係圍繞基板支持件140的邊緣。下介電環121係位在基板支持件140與下緣電極120間來使此兩電極電絕緣。在一實施例中,基板150並未與下緣電極120接觸。下緣電極120之更外側有另一下絕緣環125,其使面向基板150之下緣電極120延伸。
由導電材料(如鋁,Al)所構成之上緣電極110係圍繞氣體分配板160。上緣電極110藉由上介電環111來與氣體分配板160電絕緣。在上緣電極110之更外側有上絕緣環115,其使面向基板150之上緣電極110延伸。
在一實施例中,下緣電極120係耦合至RF電源123,而上緣電極110係接地。在基板斜邊清理製程期間,RF電源123供應頻率約為2 MHz至約13 MHz且功率約為100瓦至約2000瓦之RF能量以產生清理電漿。在斜邊清理期間,基板支持件140及氣體分配板160維持電浮接。藉由上介電環111、上緣電極110、上絕緣環115、下介電環121、下緣電極120及下絕緣環來限制清理電漿。藉由氣體饋送件161來供給清理氣體。在一實施例中,氣體饋送件係設置於氣體分配板160之中心附近。或者,亦可經由設置在處理室100之其他部分中的氣體饋送件來供給清理氣體。
為了清理蝕刻副產物聚合物,清理氣體可包含含氧氣體,例如O2 。在一實施例中,亦可添加些許量(例如<10%)的含氟氣體(如CF4 、SF6 或C2 F6 )以清理聚合物。應注意:亦可將含氮氣體(如N2 )包含於該氣體混合物中。含氮氣體可協助含氧氣體之解離。亦可添加惰性氣體(如Ar或He),以稀釋氣體及/或維持電漿。為了清理在斜邊117處的介電膜(例如SiN或SiO2 ),可使用含氟氣體(如CF4 、SF6 或兩者之組合)。亦可使用惰性氣體(如Ar或He)來稀釋含氟氣體及/或維持清理電漿。為了清理斜邊117處之金屬膜(例如,Al或Cu),可使用含氯氣體(如Cl2 或BCl3 ,或兩者之組合)。亦可使用惰性氣體(如Ar或He)來稀釋含氯氣體及/或維持清理金屬膜之電漿。
在一實施例中,上緣電極110及下緣電極120間之間隙DE E 係小於1.5 cm以確保電漿受到限制。小於1.5 cm之DE E 允許基板邊緣附近之開口的寬度(DW )及間隙(DE E )的比小於4:1,其確保電漿受到限制。DW 是近基板邊緣之開口的寬度。在一實施例中,DW 為下絕緣環125或上絕緣環115的寬度。在斜邊清理製程期間將處理室壓力維持在100 mTorr至約2 Torr間。氣體分配板160與基板150間之間隙DS 係小於0.6 mm以在斜邊清理製程期間確保上電極160與基板150間無電漿生成。
在另一實施例中,可將RF能量耦合至上緣電極110並同時令下緣電極120接地,以產生電容耦合清理電漿。或者,可利用埋置於介電材料上的感應線圈來代替上緣電極110或下緣電極120中之一者。在此實施例中,感應線圈係耦合至RF電源,而對向之邊緣電極係接地。RF電源供電以產生感應耦合蝕刻電漿,以清理斜邊117。
在基板邊緣附近以及上緣電極110與下緣電極120間所產生的電漿清理基板之基板斜邊。該清理助於減少累積在基板斜邊處的聚合物,因而減少或消除了影響裝置良率之粒子缺陷的可能性。
圖1B顯示清理基板斜邊之處理流程155的一實施例。處理開始於步驟151,將基板放置到處理室中之基板支持件上。接著,在步驟152中處理使清理氣體流過氣體分配板之中央附近的氣體饋送件。接著在步驟153中,藉由使用RF電源供給能量至上或下緣電極並使未受供給能量的邊緣電極接地而在基板之斜邊附近產生清理電漿。
圖1C顯示斜邊清理機制之另一實施例。此實施例中之處理室100C中的元件係與圖1A中所示者相當類似。其差別在於:在此實施例中下緣電極120’內移而與基板150之下表面接觸。下緣電極120’係完全於基板150之下方,以確保下緣電極120’在清理期間不會受到濺鍍。RF電源123供給經由基板150所傳輸的RF能量,以利用接地的上緣電極110來產生清理電漿。清理電漿清理斜邊。由於經由基板之直接RF,與圖1A中所示之實施例相較,此基板具有較高的DC電位。基板上較高的DC電位會導致較高的離子能量及較高的蝕刻率。
圖1D顯示斜邊清理設備之另一實施例。在此實施例中,處理室100D中之元件與圖1A類似。其差別在於:感應線圈170環繞基板斜邊以及上緣電極110與下緣電極120間之間隙。感應線圈170係埋置於介電材料171中,並連接至RF電源175。在一實施例中,介電材料171係連接至介電支持件172。
感應線圈170係連接至RF電源175。在一實施例中,在斜邊清理製程期間,RF電源175供應介於約2 MHz至約13 MHz範圍中之RF能量,以在基板斜邊附近產生感應電漿。上緣電極110及下緣電極120兩者皆接地,以提供感應電漿之返回路徑。所產生之電漿可有效地清理斜邊及基板背側。感應線圈170可提供高密度電漿以有效地清理斜邊。
亦可使用圖1D中所示的感應線圈170產生電漿,以清理基板背側及腔室壁。在基板背側清理期間,藉由升降銷(未圖示)來將基板150抬升離遠基板支持件140。在一實施例中,處理室中之壓力維持在低於500 mTorr。較低之處理室壓力允許清理電漿在基板背側下方擴散。為了清理基板背側,仍需將氣體分配板160與基板150間所需之距離DS 維持在低於0.6 mm,以確保氣體分配板160與基板150間不會生成電漿。然而,吾人不再需要上緣電極110與下緣電極120間之距離DE E 。毋需在上緣電極110與下緣電極120間或上絕緣環115與下絕緣環125間限制清理電漿。清理電漿需在經升高的基板150的下方擴散,以清理基板背側。應注意:亦可使用與清理基板背側者相似的配置及製程,以清理累積在腔室內部(包含腔室壁)的蝕刻副產物。在腔室內部清理期間,基板可停留在腔室中,或自腔室將基板移出。在一實施例中,基板背側清理及腔室內部清理可同時進行。
如上所述,亦可使用電容耦合電漿來清理斜邊以及清理基板背側。為了清理斜邊,使用介於約2 MHz至約13 MHz間的RF能量頻率。為了清理腔室邊緣,RF能量頻率係介電約27 MHz至約60 MHz間。圖1E顯示使用雙RF頻率來清理斜邊、基板背側及腔室內部的另一實施例。在此實施例中,使導電性懸突件173環繞上緣電極110與下緣電極120間之開口。在一實施例中,導電性懸突件173係耦合至高頻RF電源177,上緣電極110係耦合至低頻RF電源175,而下緣電極120係接地。高頻RF電源具有介於約27 MHz至約60 MHz間之頻率。而低頻RF電源具有介於約2 MHz至約13 MHz間之頻率。在基板背側及腔室內部清理期間,高頻RF電源177供給RF能量以產生清理電漿。上電極110係接地。在一實施例中,處理室壓力維持在低於500 mTorr。藉由升降銷(未圖示)來將基板抬升至基板支持件上方。吾人不再需要低於1.5 cm之上緣電極及下緣電極間之所需間隙。清理電漿需在基板背側下方擴散,並擴散至腔室內部的其他元件處以進行清理。然而,氣體分配板160與基板150間所需之間隙仍需維持在低於0.6 mm,以確保氣體分配板160與基板150間不會形成電漿。低於500 mTorr之較低腔室壓力允許處理電漿在基板下方擴散,以使腔室清理電漿能夠清理整個腔室。
在斜邊清理期間,低頻RF電源175供給RF能量以產生清理電漿。導電性懸突件係接地。在一實施例中,將腔室壓力維持在約100 mTorr至約2 Torr間。在此實施例中上緣電極及下緣電極間所需之間隙必須小於1.5 cm而氣體分配板160與基板150間之間隙必須小於0.6 mm,以確保電漿受到限制並且不會在氣體分配板160與基板150間生成。
在另一實施例中(圖1F中所示),上緣電極110係耦合至低頻RF電源175,而下緣電極120係耦合至高頻RF電源177。導電性懸突件173係接地。處理條件及配置係相似於圖1E之實施例中所討論者。或者,上緣電極110可耦合至介電約27 MHz至約60 MHz間之高頻RF電源177,而下緣電極120可耦合至介於約2 MHz至約13 MHz間之低頻RF電源。
在更另一實施例中(圖1G中所示),上緣電極110及導電性懸突件173兩者皆接地。下緣電極120係耦合至兩RF電源175及177,該兩RF電源可供給兩種RF能量,一種介於約2 MHz至約13 MHz,而另一者介約27 MHz至約60 MHz。在斜邊清理期間,使用供給介於約2 MHz至約13 MHz能量之RF電源。在基板背側清理期間,使用供給介於約27 MHz至約60 MHz能量之RF電源。或者,上緣電極110可耦合至兩RF電源175及177,而下緣電極120與導電懸突件173係接地。
在如圖1H所示的另一實施例中,分別圍繞上緣電極110及下緣電極120的介電環115及125外部有由導電材料(如鋁,Al)所構成的中空陰極環174。中空陰極環174具有面向斜邊的開口176。在一實施例中,開口176之寬度係大於約1 cm。中空陰極環係耦合至RF電源175,而上緣電極110及下緣電極120係接地。在此實施例中氣體分配板160與基板支持件140維持浮接。在一實施例中,由RF電源175來供電予中空陰極環174,以產生電漿處理氣體來清理斜邊。RF電源175供給介於約2 MHz至約13 MHz的能殘。在另一實施例中,藉由RF電源177來供電予中空陰極環174,以產生電漿處理氣體來清理基背側及/或腔室內部。RF電源177供給介於約27 MHz至約60 MHz之能量。在一實施例中,經由基板中央附近的氣體饋送件161來供給處理氣體。中空陰極環174產生能有效清理斜邊的高密度(例如介於約1E10至約1E11)電漿。針對此實施例,上緣電極110與下緣電極120間所需的間隙DE E 不需小於1.5 cm。然而,仍需氣體分配板160與基板150間之間隙,以確保電漿形成於上分配板160與基板150間。
圖2A顯示本發明之另一實施例。在此實施例中,處理室200具有上氣體分配板260及基板支持件240。在一實施例中,氣體分配板260亦可為電極,而基板支持件240可為耦合至RF電源245的靜電夾頭。在另一實施例中,基板支持件240係耦合至可將基板支持件上、下移動的移動構件230。在蝕刻基板250期間,利用上電極260接地而RF電源245提供RF能量以產生電容耦合蝕刻電漿來蝕刻基板250。此蝕刻配置僅作為例示性者。亦可使用其他能夠產生電容或感應電漿的蝕刻配置來蝕刻基板250。
氣體饋送件263係埋置於基板支持件240中,以將遠端產生之清理電漿供給至基板250背側俾清理基板背側或供給至腔室200以清理處理室200。在基板背側清理期間,升降銷290抬升基板250以與基板支持件240分離。遠端清理電漿源270或270’將清理電漿提供至基板250之背側以清理基板背側。在一實施例中,藉由微波來產生遠端清理電漿,如圖2A中所示之微波源270。在另一實施例中,藉由一對電容耦合電極來產生遠端清理電漿來作為電容耦合電漿(CCP),如圖2A中所示之CCP源270’。亦可使用其他類型的配置(如感應耦合電漿生成器)來產生遠端電漿。如前所述,在基板背側清理期間,將處理室壓力維持在低於500 mTorr,以允許清理電漿在基板250下方擴散(或分散)。
經由埋置在基板支持件240中之氣體饋送件263所供給的遠端電漿亦可用來清理包含腔室壁201的腔室內部。在清理腔室內部期間,基板250可停留在升降銷290上或自基板處理室200將基板移出。在腔室部分清理期間,將腔室壓力維持在低於5 Torr。
圖2B顯示清理基板背側之流程250的一實施例。在步驟251中,在處理室中自基板支持件升高基板。在步驟252中,遠端產生之清理電漿流經埋置在基板支持件中的氣體饋送件,以清理基板背側。圖2C顯示清理處理室之流程255的一實施例。在步驟256中,自基板處理室移出基板。該處理室可為沈積室;蝕刻室或可自此概念受惠之其他類型處理室。在步驟257中,遠端產生之清理電漿流過埋置在基板支持件中的氣體饋送件,以清理處理室內部。
圖3A顯示清理基板斜邊之機制的一實施例。在此實施例中,在斜邊清理期間將罩蓋板375放置到到基板350上方。在一實施例中,基板350表面與面對基板之罩蓋板375表面間之距離係小於約0.5 mm。罩蓋板375係由介電材料如石英或陶瓷所構成。罩蓋板375係由支持組件罩蓋板所支持,可藉由機械機構373來上、下移動。罩蓋板支持組件370可由介電材料所構成或具有介電材料之包覆膜。例如,介電材料可包含石英及陶瓷。在基板蝕刻期間,將罩蓋板375自基板表面移開。在蝕刻處理期間,將罩蓋板支持件370移至與基板350相同的高度或低於基板350。在斜邊清理期間,可產生電容耦合電漿或感應耦合電漿以清理基板斜邊。在圖3A所示之實施例中,感應線圈360係設置於處理室300之外部。感應線圈360係耦合至RF電源377。基板支持件340係接地以提供感應電漿之返回路徑。可經由氣體饋送件361或其他氣體饋送配置在處理室之上部供給處理氣體。
當罩蓋板保護主要基板表面時,感應電漿可清理基板斜邊。圖3B顯示罩蓋板375及罩蓋板支持組件370的上視圖。罩蓋板支持組件370具有多個用以支持罩蓋板的支持指374。在一實施例中,罩蓋板支持組件370由多組件I、II及III所構成,允許罩蓋板支持組件370在蝕刻處理期間在基板350的下方移動。
圖3C顯示斜邊清理流程圖350。處理開始於步驟351,將罩蓋板放置到基板支持件上之基板的上方。罩蓋板係置於罩蓋板支持組件上。罩蓋板遮蓋基板的中央並使基板斜邊(或罩蓋板375之邊緣與罩蓋板支持組件之邊緣間的區域)裸露。在步驟352中,使清理氣體流入處理室中。在步驟353中,將RF能量供給至電極以產生清理電漿來清理基板斜邊。
上述實施例提供較佳之斜邊、基板背側及腔室內部的清理設備及方法。可將上述清理斜邊、基板背側及腔室內部之實施例及概念應用至介電材料蝕刻室或導體蝕刻室(包含金屬蝕刻室)。亦可將上述之實施例及概念應用至其他類型的蝕刻室,包含可施行沈積之處理室及可施行處理之處理室。
用以清理斜邊、基板背側及腔室內部的較佳設備及方法減少了蝕刻副產物及沈積膜在基板或腔室內部上的非所欲累積,並增進了裝置良率。
雖然為了達到清楚瞭解之目的,僅就某些細節來闡述前述之發明,但應明白:在隨附之申請專利範圍的範疇內可施行某些改變及修正。因此本發明之實施例應被視為是例示性而非限制性,本發明並不限於此間所述之細節,在隨附之申請專利範圍之範疇與等效物中自可對其進行修改。
100...蝕刻製程處理室
100C...處理室
100D...處理室
110...上緣電極
111...上介電環
115...上絕緣環
117...斜邊
120...下緣電極
120’...下緣電極
121...下介電環
123...RF電源
125...下絕緣環
140...基板支持件
150...基板
155...處理流程
160...氣體分配板
161...氣體饋送件
170...感應線圈
171...介電材料
172...介電支持件
173...導電性懸突件
174...中空陰極環
175...低頻RF電源
177...高頻RF電源
200...處理室
201...腔室壁
230...移動構件
240...基板支持件
245...RF電源
250...流程
251...步驟
252...步驟側
255...流程
256...步驟
257...步驟
260...氣體分配板
263...氣體饋送件
270...端清理電漿源
270’...端清理電漿源
290...升降銷
300...處理室
350...基板
360...感應線圈
361...氣體饋送件
370...支持組件
373...機械機構
374...支持指
375...罩蓋板
377...RF電源
由下列結合附圖之詳細說明,當使本發明容易瞭解,其中相類之標號代表相似之結構元件。
圖1A顯示具有一對上、下緣電極之基板蝕刻系統之一實施例的示意圖。
圖1AA為圖1A中之區域B的放大示意圖。
圖1B顯示利用圖1A之上、下緣電極來蝕刻基板斜邊附近之副產物的流程圖。
圖1C顯示具有一對上、下緣電極之基板蝕刻系統之另一實施例的示意圖。
圖1D顯示具有一對上、下緣電極及環繞之感應線圈之基板蝕刻系統之一實施例的示意圖。
圖1E顯示具有一對上、下緣電極及環繞此對邊緣電極之突伸電極之基板蝕刻系統之一實施例的示意圖。
圖1F顯示具有一對上、下緣電極及環繞此對邊緣電極之突伸電極之基板蝕刻系統之另一實施例的示意圖。
圖1G顯示具有一對上、下緣電極及環繞此對邊緣電極之突伸電極之基板蝕刻系統之更另一實施例的示意圖。
圖1H顯示具有一對上、下緣電極及環繞此對邊緣電極之中空陰極之基板蝕刻系統之一實施例的示意圖。
圖2A顯示具有連接至基板支持件之遠端電漿清理源的基板蝕刻系統。
圖2B顯示清理基板背側之處理流程。
圖2C顯示清理腔室內部之處理流程。
圖3A顯示具有基板罩蓋板之基板蝕刻系統之一實施例的示意圖。
圖3B顯示具有基板罩蓋支持件之基板罩蓋板之一實施例的示意圖。
圖3C顯示在具有基板罩蓋板之基板蝕刻系統中清理基板斜邊的流程圖。
100...蝕刻製程處理室
110...上緣電極
111...上介電環
115...上絕緣環
120...下緣電極
121...下介電環
123...RF電源
125...下絕緣環
140...基板支持件
150...基板
160...氣體分配板
161...氣體饋送件

Claims (9)

  1. 一種電漿蝕刻處理室,用以清理基板之斜邊,該處理室包含:下緣電極,環繞該電漿處理室中的一基板支持件,其中該基板支持件係用以接收該基板;下介電環,設置於該下緣電極與該基板支持件之間,該下介電環環繞該基板支持件,該下介電環使該基板支持件與該下緣電極彼此電絕緣;氣體分配板,面對該基板支持件,該氣體分配板包括用來供應氣體的至少一氣體饋送件;上介電環,環繞該氣體分配板;上緣電極,環繞面對該基板支持件的該氣體分配板,其中該上介電環設置於該上緣電極與該氣體分配板之間,且該上緣電極及該氣體分配板係藉由該上介電環來彼此電絕緣;及下絕緣環,環繞並耦合至該下緣電極,其中該下緣電極設置於該下絕緣環與該下介電環之間,且其中該下絕緣環的內部高於該下絕緣環的外部,該下絕緣環的該內部係設置成較該下絕緣環的該外部更接近該下緣電極。
  2. 如申請專利範圍第1項之電漿蝕刻處理室,更包含:上絕緣環,圍繞並耦合至該上緣電極,其中該上絕緣環的一下表面設置為高於該上緣電極的一下表面,以擴散清理電漿,且其中該上絕緣環的內部高於該上絕緣環的外部,該上絕緣環的該內部係設置成較該上絕緣環的該外部更接近該上緣電極。
  3. 如申請專利範圍第2項之電漿蝕刻處理室,其中該上絕緣環及該下絕緣環限制由該上緣電極及該下緣電極所產生的清理電漿。
  4. 如申請專利範圍第1項之電漿蝕刻處理室,其中該下絕緣環之用以面對該基板的一表面係低於該下緣電極之用以與該基板接觸的一表面,以擴散清理電漿。
  5. 如申請專利範圍第1項之電漿蝕刻處理室,更包含一RF電源,其設置於該下緣電極的下方,並透過該下緣電極供應電力,其中該下緣電極係耦合至供給電力以產生清理電漿之該RF電源,而該上緣電極係接地。
  6. 如申請專利範圍第1項之電漿蝕刻處理室,其中該基板支持件係由一RF電源供電。
  7. 如申請專利範圍第1項之電漿蝕刻處理室,其中該氣體分配板與該基板之面向該分配板的表面間的距離係小於該上緣電極與該下緣電極間的距離,以防止電漿形成在該氣體分配板與該基板之間。
  8. 如申請專利範圍第1項之電漿蝕刻處理室,其中該下絕緣環係用以設置為與該基板接觸。
  9. 如申請專利範圍第1項之電漿蝕刻處理室,其中該下緣電極與該基板接觸。
TW095135720A 2005-09-27 2006-09-27 用以去除晶圓之斜邊與背側上之薄膜的設備及方法 TWI381440B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/237,327 US20070068623A1 (en) 2005-09-27 2005-09-27 Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US11/440,561 US7909960B2 (en) 2005-09-27 2006-05-24 Apparatus and methods to remove films on bevel edge and backside of wafer

Publications (2)

Publication Number Publication Date
TW200721302A TW200721302A (en) 2007-06-01
TWI381440B true TWI381440B (zh) 2013-01-01

Family

ID=37820632

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095135720A TWI381440B (zh) 2005-09-27 2006-09-27 用以去除晶圓之斜邊與背側上之薄膜的設備及方法

Country Status (5)

Country Link
US (2) US7909960B2 (zh)
KR (3) KR101369131B1 (zh)
MY (1) MY169549A (zh)
TW (1) TWI381440B (zh)
WO (1) WO2007038580A2 (zh)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7659206B2 (en) * 2005-01-18 2010-02-09 Applied Materials, Inc. Removal of silicon oxycarbide from substrates
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP4705816B2 (ja) 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7575638B2 (en) * 2007-02-02 2009-08-18 Lam Research Corporation Apparatus for defining regions of process exclusion and process performance in a process chamber
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8268116B2 (en) * 2007-06-14 2012-09-18 Lam Research Corporation Methods of and apparatus for protecting a region of process exclusion adjacent to a region of process performance in a process chamber
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
WO2008120946A1 (en) * 2007-04-02 2008-10-09 Sosul Co., Ltd. Apparatus for supporting substrate and plasma etching apparatus having the same
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
KR100905845B1 (ko) 2007-09-06 2009-07-02 주식회사 하이닉스반도체 웨이퍼 에지 식각 장치 및 이를 이용한 웨이퍼 에지 식각방법
US7981307B2 (en) * 2007-10-02 2011-07-19 Lam Research Corporation Method and apparatus for shaping gas profile near bevel edge
KR101380861B1 (ko) * 2007-11-09 2014-04-03 참엔지니어링(주) 플라즈마 에칭 챔버
JP5184644B2 (ja) * 2007-11-21 2013-04-17 ラム リサーチ コーポレーション 湿式エッジ洗浄を強化するためのベベルプラズマ処理
KR101402234B1 (ko) * 2008-02-11 2014-05-30 (주)소슬 플라즈마 식각 장치
KR101397414B1 (ko) * 2008-02-11 2014-05-20 (주)소슬 웨이퍼 백사이드 식각을 위한 플라즈마 처리장치
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US9136105B2 (en) * 2008-06-30 2015-09-15 United Microelectronics Corp. Bevel etcher
US8419964B2 (en) 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8414790B2 (en) * 2008-11-13 2013-04-09 Lam Research Corporation Bevel plasma treatment to enhance wet edge clean
US8262923B2 (en) * 2008-12-17 2012-09-11 Lam Research Corporation High pressure bevel etch process
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
KR101540609B1 (ko) * 2009-02-24 2015-07-31 삼성전자 주식회사 웨이퍼 에지 식각 장치
US8172646B2 (en) * 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
JP5770740B2 (ja) * 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8562750B2 (en) * 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US9177762B2 (en) * 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
CN103861844A (zh) * 2012-12-18 2014-06-18 上海华虹宏力半导体制造有限公司 Pad刻蚀机台工艺腔的清洁方法
JP5861045B2 (ja) * 2013-03-28 2016-02-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP6661283B2 (ja) * 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10879051B2 (en) * 2016-11-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US11462387B2 (en) * 2018-04-17 2022-10-04 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
KR102162187B1 (ko) 2018-08-31 2020-10-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR20200080460A (ko) 2018-12-26 2020-07-07 삼성전자주식회사 반도체 소자 제조 방법 및 반도체 공정 설비
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
US10892404B1 (en) * 2019-07-09 2021-01-12 International Business Machines Corporation Sacrificial buffer layer for metal removal at a bevel edge of a substrate
WO2021055763A1 (en) 2019-09-19 2021-03-25 Applied Materials, Inc. In-situ dc plasma for cleaning pedestal heater
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US11081643B1 (en) 2020-01-21 2021-08-03 International Business Machines Corporation Bevel metal removal using ion beam etch
US20220108872A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Bevel backside deposition elimination
CN114446749B (zh) * 2020-11-02 2023-10-24 长鑫存储技术有限公司 刻蚀机的气体分布板的拆装装置和刻蚀机
WO2023244676A1 (en) * 2022-06-16 2023-12-21 Applied Materials, Inc. Stackable plasma source for plasma processing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142449A (ja) * 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
US20050173067A1 (en) * 2003-05-12 2005-08-11 Dong-Soo Lim Plasma etching chamber and plasma etching system using same

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3151014B2 (ja) 1991-09-20 2001-04-03 住友精密工業株式会社 ウエーハ端面のエッチング方法とその装置
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JPH06338475A (ja) 1993-05-31 1994-12-06 Kawasaki Steel Corp 半導体製造装置
JPH08158072A (ja) 1994-12-02 1996-06-18 Nippon Soken Inc ドライエッチング装置
JP3521587B2 (ja) 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5693241A (en) 1996-06-18 1997-12-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Atmospheric pressure method and apparatus for removal of organic matter with atomic and ionic oxygen
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5992463A (en) 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US5961772A (en) 1997-01-23 1999-10-05 The Regents Of The University Of California Atmospheric-pressure plasma jet
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6153044A (en) 1998-04-30 2000-11-28 Euv Llc Protection of lithographic components from particle contamination
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP4896337B2 (ja) * 2000-05-17 2012-03-14 東京エレクトロン株式会社 処理装置およびそのメンテナンス方法,処理装置部品の組立機構およびその組立方法,ロック機構およびそのロック方法
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6534921B1 (en) 2000-11-09 2003-03-18 Samsung Electronics Co., Ltd. Method for removing residual metal-containing polymer material and ion implanted photoresist in atmospheric downstream plasma jet system
JP4877884B2 (ja) 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US20020142612A1 (en) 2001-03-30 2002-10-03 Han-Ming Wu Shielding plate in plasma for uniformity improvement
KR100433008B1 (ko) 2001-04-18 2004-05-31 (주)소슬 플라즈마 식각 장치
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US20040137745A1 (en) * 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
DE102004024893A1 (de) 2003-05-27 2005-04-14 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zum Ätzen eines Wafer-Rands
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
KR100585198B1 (ko) * 2003-07-18 2006-06-01 위순임 웨이퍼 에지 처리용 플라즈마 발생장치
KR100558925B1 (ko) * 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US7078350B2 (en) 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20070068623A1 (en) 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
JP2007142449A (ja) 2007-01-09 2007-06-07 Nsk Ltd 基板搬送装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142449A (ja) * 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
US20050173067A1 (en) * 2003-05-12 2005-08-11 Dong-Soo Lim Plasma etching chamber and plasma etching system using same

Also Published As

Publication number Publication date
MY169549A (en) 2019-04-22
KR101341711B1 (ko) 2013-12-16
TW200721302A (en) 2007-06-01
US20070068900A1 (en) 2007-03-29
WO2007038580A2 (en) 2007-04-05
US8308896B2 (en) 2012-11-13
US7909960B2 (en) 2011-03-22
KR101265827B1 (ko) 2013-05-20
US20110209725A1 (en) 2011-09-01
WO2007038580A3 (en) 2007-08-09
KR20130064149A (ko) 2013-06-17
KR20130018915A (ko) 2013-02-25
KR20080054419A (ko) 2008-06-17
KR101369131B1 (ko) 2014-03-04

Similar Documents

Publication Publication Date Title
TWI381440B (zh) 用以去除晶圓之斜邊與背側上之薄膜的設備及方法
US9564308B2 (en) Methods for processing bevel edge etching
TWI469210B (zh) 具有可變功率之邊緣電極
US8083890B2 (en) Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
CN101273430B (zh) 去除晶片的斜面边缘和背部上的膜的装置和方法
TWI455204B (zh) 基板處理用之邊緣環裝置
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
KR20020070255A (ko) 플라즈마 에칭 챔버에 대한 다단계 세정
KR20080106427A (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
JP2011517368A (ja) 基板からポリマーを除去するための方法及び装置
CN113964010A (zh) 等离子体处理方法以及等离子体处理装置