TW475201B - Multiple stage process for cleaning process chambers - Google Patents

Multiple stage process for cleaning process chambers Download PDF

Info

Publication number
TW475201B
TW475201B TW089115064A TW89115064A TW475201B TW 475201 B TW475201 B TW 475201B TW 089115064 A TW089115064 A TW 089115064A TW 89115064 A TW89115064 A TW 89115064A TW 475201 B TW475201 B TW 475201B
Authority
TW
Taiwan
Prior art keywords
gas
chamber
scope
substrate
etching
Prior art date
Application number
TW089115064A
Other languages
English (en)
Inventor
Waiching Chow
Raney Williams
Thorsten B Lill
Arthur Y Chen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW475201B publication Critical patent/TW475201B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

475201 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 發明領域: 本發明關係於一種洗淨墓 之®)的方法。 發明背景: 力積體電路之製作中,例如二, 矽,金屬,矽化金屬及單&曰 虱化矽,多曰 1 - 早結晶矽之材料#…社★ . 基材上,這些係被蝕刻成為預定圖安、L ”形成於 接觸孔,溝渠及/或^導孔, 及求於笔JL處理中,一由 1 鼠化發(硬罩)或悉構成之有圖查、 / ,、, 一傅成义有®案遮罩係藉由傳务 被影方法,形成兹^基材上。於有安 、 、哥遮罩特性間之下層木 料之外露部份係藉由電容或雷 飞包感耦合蝕刻氣體電漿而相 触刻。於蚀刻製程中,姓刻殘留物(經常被稱為$ 積於敍刻室内之壁及其他元i表面i。(由蚀刻製擇所, 留之)蝕刻殘留物之成伶係取決於^蒸餐^物種,糸 蝕刻材料及於基材上之遮罩每之化學成啄而定。例如,^ 矽、化鎢,多晶歹或其他含⑱層涵蚀刻時,含體_種令 蒸發或由®材翁開;同餐地,金屬邊之妥銳造成金邈物希 之澴發。另外,於基材上之_層同時為铋釾氣體所部^ 霽發,以形成氣體驗⑧或興物種。蒸發及氣態物種凝結, 以形成包含聚合物副產物之蝕刻殘留物,該聚合物副產车 係由來自光阻之岑氫物種;例如氟,氯,氧或氮之氣體^ 素;及取決於被蝕刻基材成份之元素矽或金屬,物種所名 /成。聚合物副產物沉積於室之璺面及元件上,成為蝕刻歹 留薄層。蚀刻殘留成份典型地於室表面上有驗^變化,這{ 第2頁 本紙張尺度適用中國國家標準(CNS〉A4規格(210 X 297公爱) •ϋ I m ϋ I ϋ βϋ 1 ϋ n n βϋ I · n n mmmt n an an a— 訂---------線丨i (請先閱讀背面之注意事項再氣寫本頁) 經濟部智慧財產局員工消費合作社印製 A7 〜^------------------ 五、發明說明() 取決於區域(¾態環境之成份,II入口及排氣」車之位置, 及室之屬何形狀而定。 形成於蝕刻室表面上之成份變化,非均質蝕刻殘留物 必須被定期碜洗淨,以防止對基材之污染。典蜇地,於處 埋哟25厲)圓後,一内部電漿”乾洗”處理係摩行於一空蝕 刻琴中’以洗瘦該室。然而,活性電漿快速地沖蝕室壁及 室7L评,並且,要替換這些元件及部份係很昂貴的。同時, 室表中鵪可以造成於洛刻處理晶圓間之沒此不穩定 3性°相當薄及成份氅化之蝕韻劑殘留,物同時也^使得於所有 蚀刻声留物被4除後,立即停止力部電漿先淨處理,造成 了在室室,表面之沖戶。同時,也很難在完全不^先淨於室其 他部/分之化性轉軟殘弩物及沖蝕下層室表垮下,洗淨沉積 於室表面部梦之化性鳍硬殘,留物。例如,形成於接近室入
, S 口或排出尸之蝕刻劑殘留物經常具有^較形成於、接近基材 之殘留物令高之蝕刻氣體物種之濃度,其典型辱有較高之 光睜,硬遮罩。或予以蝕刻之1材料的濃度。 〆同時’也很難形成可均勻餘刻掉不同成份變^化之姓刻 殘留。物。因此,於洗i完成約以0或300氙圓後,蝕刻室 係哼打開至大氣並以,,濕式洗淨,,處珲加以〗先淨,於其中一 作業員使用一酸或溶劑以由室壁沖洗及分解所累積之蝕 刻劑垮留物β為了提供也j室特性,於濕式洗淨步騾後, 室及其1部表面係藉史將室泵排出一長加以,,風 芩”,隨後,於擋片上愁行一連串之钞刻處理。枉室表面 應养現不哭化鸯表面,即表力於〗農度,類型赛表面化學群 -----II----I-------··---^---------線 (請先閱讀背面之注音?事項再«-'寫本頁} 第3頁
經濟部智慧財產局員工消費合作社印製 475201 Α7 -----------·一 Β7 五、發明說明() 組之功訑上具有很少或沒有變化;否則,執行於室中之每 刻處理產生曰曰圓間彼此不同之姓、刻結果。於泵排出處理 中’室係被排氣至-高真空環境中,2至3小af,以排出 濕氣及其他於濕式洗淨處理中被捕於室中之揮發性物 種°隨後’予以執行於室中之蚀刻處理係執行10至15分 於,’且擔片上’或直到室提供不變及可重覆蝕刻特性為 止。 於祝f工半導體工業中,由乾或濕洗淨及風乾處理步 驟中,由蝕刻室之停機時間所造成之每基材之成本增加係 不想要的。典型地,每一乾式^^處理步^一5至10分, 及2〜至3小時完成;H洗淨浚理。同時,濕式洗淨及風乾 處孤_經常提供不穩1變化之刻特性。特另^是,因為濕式 @淨係由一復_差一員所手動執行,而造成一期間一期間 之不同’造成於室表面特性之變化及低蝕刻處理之再現 性。因此,吾人想要令一蝕刻處理,其係可以去除或消除 蚀刻殘留物沉積於室表面上。 於半導體製程中,於蝕刻多層材料造成的另一問題是 具有類令;成元素,例如,矽一化鎢,多晶矽,氮彳匕矽及二 氧化矽之含矽哕料。參考第la及丨七圖,一於半導體基材 25上之典型多層多晶矽化金屬纟i構包含金屬硬化物層22 沉積於已锋雜或未摻雜多晶矽層24上β多晶矽化金屬係 形成於一氧化參、層26上,並被此刻以形成蝕刻,持性29。 於這些多層結構中,很困難取得相對於上層光咚層28或 下廣多晶碎層24之蝕刻金屬矽化物層22之高蝕刻選擇 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) — — — — — — — — — — — — I» · I I I l· I I I*t-T°J« — — — — — 111 (請先閱讀背面之注意事項再填寫本頁) A7 ^" ---------B7______ 五、發明說明() 率。吾人想要具有-高—刻選擇率,用以餘另多晶碎化金 屬結構,其具有非平面及論轉j樸形。於蝕刻I理時之 某一時間’較薄金屬碎化物| 22係]皮_穿遞並於仍蚀 劇較厚金屬♦化物層22時,即已開始刻下層多晶硬層 24。因此,吾人想要以相對於蝕刻例如多晶矽24之較快 蝕刻速度來蝕刻金屬矽化物層22。於包含例如示於第u 及Id圖中之矽36之基材中形成溝渠前,相同問題發生於 很薄一氧化矽層3 4上蝕刻氮化矽3 2之遮罩層中。被蝕刻 溝渠38係用以阻隔開形成於基材上之活^ M〇SFET裝 置。用以蝕刻氮化矽相對於二氧化矽之蝕刻選擇率必須很 向,以停止二氧化矽層34上,而不必蝕刻穿過該層。 咼蝕刻選i生係使用一以相當大不同蚀刻速率,來蝕 刻不同之含碎里ϋ成如以取得,其係取決於 相對一特笔)層之該定蘧理H成份之g學反應性。然而, 蝕刻金化物層(舞多晶矽填有高!擇性,或龟刻氮化矽 層(廣於二氧化淨層具有高運)擇性係特別^難的,因為兩材 料含7G素殄及多數像統蝕刻電^味刻該资矽層,以形成氣 體S(pcix或Si^物種。因此,對於蝕刻電漿以化學區別及
C 經濟部智慧財產局員工消費合作社印製 較佳地力刻拿屬碎物層2孓較多晶矽層24為抉,以及蝕 刻氮化碎層Θ快於二氧化矽層係有困難的。此問題是 進一步令劇,因為,成於室梦上之蝕刻劑殘留物同時也含 嫌、 ^ ) 二氧化碎芋傾向去除> 刻劑殘留物,於多晶矽化金屬蝕刻 製程中可以降低用以蚀刻這些層之蝕刻速率或蝕刻選擇 率。 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 475201 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 A7 、發明說明() 因此’吾人係想要具有一蚀帮處理,其係減少蝕刻劑 殘留物形声於蝕刻室中。若蝕刻劑多洗淨氣體並不腐蝕室 之外露表^面也是吾人想要的。吾人也想要具有—餘刻或洗 淨t程,其回復原参化學劑反應,率及室表气之表面官能 J5。吾人也想要一棼淨處理,以去除具有變化厚度之化學 黏著姓刻劑殘留層及變化化學成份及&應性,而不會過量 腐蝕下層^之室表面。 fiM目的及概μ : 本發明提供一種蝕刻於一蝕刻室内之基材並洗淨形 成於室表面上之蝕刻劑殘留物之方法。於室中之表面表示 於室密閉件内之任何表面包含室本身側壁及室内元件之 表面,元件係例如配氣管,電極夾具,對焦環及其他元件。 於一万面中,一種藉由洗淨室中之蝕刻寧殘留物以處 理反應1之方β法包含:提供第一激吟處理氣體於室中,以 洗淨表·面,然後,提供一第二個/同處理氣體於室中,以 進一步洗淨表面。 於本發明之另一方面中,一種蝕刻於室中之基材並洗 淨於室内表面上之蝕刻劑殘留物之方法包含:放置基材於 1:中及於第一階段中,提供一激能第一處理氣體,該氣體 包含基材蝕刻氣體及第一洗淨氣體。於第二階段中,提供 一激能第二處理氣體,*包含-第二不同洗淨氣體。 於本發明<另一方面中,一種蝕刻於室中之基材並洗 淨於至内表面上之蝕刻劑殘留物之方法包含··放置基材於 第6頁 -----·1---^---------^ (請先閱讀背面之注音?事項再填寫本頁) B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 室中’蚀刻於基材上之一第一材料,藉以沉積一第—餘刻 劑殘留物於室内之表面上,蝕刻於基材上之第二材料,同 時抑制第二蝕刻劑殘留物沉積於第一蝕刻劑殘留物上,第 一#刻劑殘留物係成份上不同於第二蝕刻劑殘留物,並洗 淨形成於室内表面上之第一及第二蝕刻劑殘留沉積物。 於本發明之另一方面中,一種姓刻於室中之基材並洗 淨於室内表面上之蝕刻劑殘留物之方法,包含:靜電夾特 基材於^室中,提供一第一激能氣體,其包免蝕刻基材之飯 刻氣體f及一殘留物洗,淨氣,體’及提供一第二^激能氣體於室 中,以碜淨沉積於室/表面上之蝕刻劑殘声物,並同時,去 除累積於基材中之。殘留電荷。 於本發明之另一方面^中,一種蝕刻於室中之基材及洗 淨形成於室内表面上之殘留物之方法,其包含:放置基材 於室中,使用激能氣體,以蝕刻於基材上之一或多數材 料,激能氣體之至少一成份包含一蝕刻氣體,其包含Cl2, N2,〇2, HBi:及&-02之一或多數,及一殘留物洗淨氣體, 其包含CF4,SF6& NF3之一或多數,以及,使用另一包 含氧之激能氣體,以洗淨形成於室内之殘留物。 於本發明之另一方面中,一種蝕刻於室中之基材並洗 淨形成於室内表面上之殘留物之方法,該室包含一靜電夾 具,及該方法包含:傳送一基材進入室中,並靜電夾持基 材於靜電夾具上,提供一激能氣體於室中,以链刻於基材 上之遮罩層,藉以於室中表面上形成殘留物,該殘留物包 含導源自遮罩層之化學物種,提供另一激能氣體,其包含 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----L---^· -------^ (請先閱讀背面之注意事項再填寫本頁} 丄 A7 B7 五、發明說明( 触刻氣體’其係包含有ci2,N,,02, HBr 及 He-02 之一 或多數’以及殘留物洗淨氣體,其 ° IF4 , sf6 及 nf3 之一或多數於室中,以蝕刻於遮罩屉 、 目下足材料,並提供於 至中之σ乳屯a,以協助放鬆該基材 卫/先淨形成於室表面 上 < 殘留物。 於本發明之另一方面,一種洗 至 < 万法,以由室 中之表面上去除殘留物’其包含:提供-激勵第一處理氣 體於室中’以洗淨於室中之表面,及調整室電源、,以控制 由表面上去除之殘留物量。 本發明之這些及其他特性,方 万面及優點將由以下圖 式,說明及隨附之申請專利範圍加 间7以了卿,其係只例示本 發明之例子。雖然以下說明及圖式只例示本發明之特性, 但可以了解的是每一特性可以用於本發日月巾,而不只是特 定圖式之文中’本發明包含這些特性之任意組合。 圖式簡單說明 第la圖為具有二氧化矽,多晶矽,金屬矽化物及光阻層 之基材之剖面圖; 第lb圖為第la圖之基材於蝕刻金屬矽化物及多晶矽層後 之剖面圖; 第lc圖為具有氮化矽遮罩層之矽或多晶矽基材之剖面 圖; , 第Id圖為第la圖之基材於蝕刻 第2圖為本發明之蝕刻設備之剖面側視圖; 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格GW X 297公釐) II —— — 1 — — — — — · I I 1 L----^ « I--I--I (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 475201 A7 B7 之處 五、發明說明() 第3圖為用以蝕刻基材同時洗淨蝕刻室及其元件表面 理的流程圖; 第4圖為以傳統處理形成於室内表面上之多層蝕刻劑殘留 物成份之圖,其中一基材包含一遮罩層及下層之含 矽層係被蝕刻; 第5圖為藉由本發明所形成於室内表面之多層蝕刻劑殘留 物之成份圖,其中一基材包含一遮罩層及下層之含 矽層係被蝕刻; 第6圖為顯示形成於裸矽晶圓上之蝕刻劑殘留物之沉積及 去除率之圖表; 第7圖為具有不同成份及厚度之殘留物之去除率之圖表; 及 第8圖為增加電漿電源位準時之殘留物去除率之圖表。 請 先 閱 讀 背 © 之 注 項 再 填r 本 頁 經濟部智慧財產局員工消費合作社印製 圖號對照說明 20 設 備 22 金 屬 矽化 物 層 24 多 晶 矽 層 25 半 導體基 材 26 二 氧 化 矽 層 28 光 阻 層 29 蝕 刻 特 性 30 處 理 室 32 氮 化 矽 34 二 氧 化矽 層 36 矽 38 蝕 刻 溝渠 45 側 壁 50 底 壁 55 圓 頂 天 化 板 60 基 材 中心 65 配 氣 系 統 70 處 理 氣體 供 給 第9頁 本紙張尺度適用中國國家標準<CNS)A4規格(210x297公釐) A7 B7 五、發明說明() 為圓頂形,以提供於電漿處理區之整個體積之電漿電源之 均句分佈。圓頂形天花板55係較平坦天花板更離開基材 中心60,並可以減少於接近基材25之分解離子再結合之 損失,以於基材表面上提供較平坦天花板更均勻之電漿離 子密度。圓頂天花板55可以是一平圓頂,圓錐圓頂,截 頭圓頂,圓柱,或此等形狀之組合,其提供圓頂形表面於 基材25上。 處理氣體係被經由一配氣系統6 5引入處理室3 0中, 該配氣系統包含一處理氣體供给70及一氣流控制系統, 其包含操作一氣流控制閥8 0之傳統電腦系統7 5。配氣系 統65可以包含位於基材25圓周上(如所示)之氣體出口 85,或一安裝於處理室30之天花譽上之喷氣頭,具有出 口在其中(未示出)。一第二供氣系統200經由一電子作動 閥205提供洗淨氣體至處理室30。已使用處理氣體及蝕刻 劑副產物係經由排氣系統90(典型包含1 〇〇〇升每秒粗抽氣) 由處理室30排出,該排氣系統能死處理室30中完成約1〇-3 毫托耳之最小壓力。一節流閥,95係提供於排氣系統90 中,以控制已使處理氣體之流量及於處理室30中之處理 氣體的壓力。一非對稱抽送通道1 0 0係被使用以將氣體排 出處理室30,以提供更對稱及均勻氣體物種分配於基材之 表面1 0 5上。 一電漿係使用一電漿產生器10由引入處理,室30中之 處理氣體所產生,該電漿產生焉耦合電磁場至於室之處理 區域中之氣體。或者,處理氣體可以被激能或作動於遠端 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------------- (請先閱讀背面之注意事項再填寫本頁) . -線- 經濟部智慧財產局員工消費合作社印製 475201 經濟部智慧財產局員工消費合作社印制衣 A7 "" -------^_____ 五、發明說明() 室中,以及,被激能或活化處理氣體可以由遠端室被引入 處理室30中。一適當電漿產生器11〇包含—電感天線 11 5,其係由一或多數電感線圈構成,並具有對稱於中心 軸之圓形對稱幾何,與由處理室之中心延伸之垂直 重合並垂直於基材25之平面。電感天線115包含一具i 至1 〇圈,更典型係由2至6圈之螺線管。螺線管線圈之 配置及數量係加以選擇,以提供接近天花板5 5之電流及 天線圈數(d/dt)(N*I)之想要乘積,以提供強電感通量密耦 合至電漿’因此’鄰近基材25之電漿區域中之較大電装 離子密度’這係如於美國專利申請案第08/648,254號案 所述’該案係併入作為參考。當電今天線丨丨5係定位於接 近圓頂天花板55時,處理室30之天花板55包含介電材 料’其對於電磁場係透通的,這係例如一平板之加工二& 〇 一氧 化矽或平塊之矽或二氧化矽彼此相結合,以提供一彎曲形 狀。被包圍於處理室3 0之側壁45之電感線圈1 1 5係為_ 多半徑圓頂形電感線圈,其具有,,扁平,,圓頂形狀,以直接 於基材中心00上提供增加~電漿離子密度,因為離子密 度係被接近電感線圈1 1 5之區域離子化所影響,及—多半 徑電感線圈係更較半球線圈泰接近基材中心60<:於另一實 施例中’天化板5 5包含一多半徑圓頂,具有至少中心半 徑R及一角落半徑r ’其中r係小於中心半徑r,以及, R/r係由約2至10。施加至電感線圈115之電壓之頻 率係典型約50KHZ至約60MHz,更典型係約13·56Μί^; 及施加至天線之RF電壓之功率位準係約1〇〇至5 000瓦。 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I--I-----I--- . I I--η---认吁*-----— I — I (請先閱讀背面之注音?事項再填寫本頁) H/JZU丄 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 、形成於電衆區中之電漿同時可以使用磁加強反應器 W加強’其卜例如永久磁鐵或電磁線圈之磁場產生器 (未不出)係被用以施加—磁場於電漿區中,以增加電漿之 " 句勻1*生。磁%包含—具有場軸之旋轉磁場,其係旋 轉平行於基材之平面,如同於公告於1 989年六^127日之 吴國專利罘4, 842, 683號案所述,該案係併入作為參考。 於至中《磁場應足夠強,以增加形成於電漿中之離子密 ^夠均勾以減少例如CMOS閘極特性之充電損壞。 般而。f '則於基材表面上之磁場係少於、約500高斯, 典型係由約10至約100高斯,最典型係由約10高斯至約 處理室30高斯。 除了電感線圈1 1 $认 ju . 3外’一或夕數處理電極可以用以加 強或激把於處理室3()中之電漿離子。處理電極包含一第 包桌形成處理至3〇之壁面,例如室之天花板W及/或側 壁45 # -電極係電容镇合至基材25下之第二電極。一 電極電壓供給-RF電位,其維持[及第二電極於彼此 不同之電位。 在閉處理:£ 30包含一或多數陶瓷表面,其作用於不 同功能:例如,於-實施例中,處理室壁45,50 ,天花板 55係被塗覆以或由例如碳化硼,氮化硼,氧化矽,碳化矽, 或氮:矽《陶瓷材料所作成,以保護壁面不受化學腐蝕, 特別疋蚀刻氣組成物之腐蚀。例如,碳化棚係/用於保護 室之側壁45於氟化氣體環境中不受腐ϋ。如於另-實施 例中,藍寶石(氧化銘)配氣板可以用以釋放處理氣體進入 第13頁 -----------—:----^--------- (請先閱讀背面之注意事項再填寫本頁) A7 B7 經濟部智慧財產局員工消費合作社印製
J 發明說明( $…〇中。當室包含陶€面時’蚀刻劑殘留係很難去 除,…們可能與處理室30(例如,單石陶逢構件⑴ 〈表面,其具有高度反應表面官能團)中,例如氧化紹, 氮,銘,碎及氧化梦陶资面之陶党面反應。例如,氧化銘 或氮化物表面當外露至氧或濕氣時,形成Α1_〇η·表面官能 一團’其與㈣劑殘留物作产學反應,以”表面或元^ 形成硬黏性塗層。 。 、於處理室30中另1資面係為具?陶资接收面"Ο 芡早體陶瓷構j牛135,用以收納基材25於其上。適當陶資 材料包含氧化链,氮化銘,碳化刪,氮化侧,$,氧化_, 碳化石夕,氮化Μ氧化鈥之-或多數。第二電極可以内藏 於陶瓷構件135之中,使得陶瓷材料完全地包圍住第二電 極,以形成一單體夢石陶瓷構件。第二電j虽係由例如鎢, t或鉬之導電金屬所製造。内藏之第二電極為一導通,其 中施加一”熱”RF電位,以處理室,30中之其他電極係維持 於不同電位,包含相對於第二電極之電氣接地或浮動電 位。因為其係内藏於單陶瓷構件135中,所以第二電極體 不必藉由其他絕緣屏蔽加以與處理室3〇作電氣隔離,藉 以減少於處理室3 〇中之寄生電奮阻抗負載,否則這將會 於第二電極及接地室壁45, 50中形成。一可以是第二電 極之靜電夾具145產生一靜電電荷,用以靜電夾持基材基 材25至陶瓷構件135之接收面140 ^使用施加‘電導體之 直流夾持電壓,該導體係被***經陶瓷構件1 3 5連接至電 極 1 2 5 〇 第14頁 ^-----r---^---------線 (請先閱讀背面之注音?事項再填寫本頁) B7 五、發明說明() 第一及第二電極係彼此被一電極電壓供給所相對地 電氣偏壓,該供一交流電j供給,用以提供一電漿 產生RF兔壓毛主土電_鱼及一直流電壓供給1 6 5,用以提 供夾持電壓至> 電極。交流」堡給提供一具有由 13·56ΜΗζ至40 0KHZ之一或多數之頻率之RF產生電壓,
以形-成農容,_合電漿於至處理室30中。施至電極之RF 偏壓電流之功,位由約50至約3000瓦。來自電 壓$給165之分離直流電壓係施加至電』125 ,以形成一 靜t場,其保持基材25於夾具145上。RF功率可以被連 接至一橋電路及一電濾波器,以提供直流夾持f力給電極 125 〇 現將說明蝕刻室3 0之操作以蝕部於基材2 5上之一或 夕冬層,同時,洗淨蚀刻室。基一材2 5包含例如一半導體 材料,例如咬或碎化鎵晶圓,具有多層,諸層包含例如下 層之二氧一1匕矽,其作為用於MOS電晶體纟閘氧化層,及 一 晶碎化金屬,其係备座化鎢,碳化姑,及下層多 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 晶矽層之組合。於基材25上之矽化金屬層包含例如鎢, 叙’鈥或鉬梦化金屬。一多晶碎層係於金屬梦化物層下, 及於一二氧化矽層上。一圖案遮罩層,例如光阻,或一二 氧化碎或氮化碎硬遮罩係形成於基材25上。於遮罩層間 之基材的外露部份係被蝕刻以形成溝渠,例如,用1製造 MOS電曰閘極電極之龟觸孔;典型用作福電極之 多晶矽化金屬特性;及導氣連接兩 或多數為絕緣化矽/氮化矽層所分隔之導電層之多晶金屬 ----第15 頁 本紙張尺度適用τ圈國冢標準(CNS)A4規格⑽χ挪公楚) 475201 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 結構。於另一例子中,基材包含氮化碎層或氧切層 (摻雜或未摻雜)基材上。 為了執行本_之處理,基材25錄用_來自真办 隔絕室經狹蟓3 〇中之機i手^ 一丨7 〇加以傳送了 一抬舉指組件(未示出)具有象^部,以延伸過夾具145 中之抬舉指部開口,以收納或抬舉基材25離開夾具。 機械手臂170放置基材25於抬舉指部(未示出)尖端,該指 部被氣動抬舉機制180所升高,以升高離開夾具145 2 = 面約2至5公分。在電腦系統控制下之氣動機制降低基材 25至靜電夾具145,以氦係經由夹具中之孔徑175被施 加,以控制基材2 5之溫度。 一包含用以蝕刻基材之蝕刻氣體之處理氣體係經由 氣體出口 8 5被引入室3 0中,該室係被典型維持於範圍由 約0.1至约400毫托耳之壓力中。用於蝕刻於基材基材25 上之層之適當蝕刻氣體包含例如HC1,BC13,ΗΒι*,Βι:2, CI2,CCI4,SiC“,SF$ , F,NF3,HF , CF3,CF4,CH3F , CHF3, C2H2F3, C2H4F6, C2F6, C3F8, C4F5, C2HF5, C4Fi0 ,
CF2C12,CFC13 ’ 02,N2,He及其混合物。蝕刻氣體係加 以選擇,以提供高ϋ刻率,及特定層之高選擇姓刻。當多 層係被依序蝕刻時,第一,第一,第三,等蝕刻氣體成份 係被依序引入室中,以蝕一特定層。彖考第2圖,一 電漿係由蝕刻氣體使用電1 1 〇,以電感/及/或電容 耦合能量至室3 0中,加以激能。例如丄可以藉由施 加一 RF源電流至包圍室30之電感天線1 15,並施加一 RF 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ----------^---------線 (請先閱讀背面之注意事項再填寫本頁) 475201 A7 ______B7_ 五、發明說明() 偏壓至電極加以形成。蝕刻氣體離子化被施加之電場,以 形成可蝕刻於基材25上之層之離子及中心,以形成揮發 氣體物種,其係可以由室3 0排出。 本製程允許蝕别於一某材25上之一或多層,同時, 洗電铎1室3 0—,其―中,蝕執行,而不必停止 處理。於一或多,蝕刻處聋段中,一洗氣體係被 以一選定贫積比率,加入蝕刻氣體中,使梦蝕農殘留物形 成於任一丝_JLit段中;或形成於所有蚀刻階段中之殘留物 係整個地為(¾)除。蝕刻氣體包含Cl2, N2, 〇2, HBr或He-〇2 之一或多數;及洗淨氣體包含NF3,CF4或SF6之一或多 數。吾人發現這些氣體之組合提供一特有及意想不到之蝕 刻及洗淨特性。 洗淨氣體對蝕刻氣體之體積流▲雇,以去除 声處理至少至至少3〇〇〇基材^產刻劑殘 (¾¾ ’而q執」jjpj洗淨免驟,_』以於基材處理間洗淨 室3 0。一洗淨氣尊至蚀刻氣體之適當體積流率係由約1 : 20至約1 : 1,更好县由約1:10至約2:3,最好是約2: 3。吾人發現以此等洗淨氣體對蝕刻氣禮積流率’於 室表面45,50,55上之蝕刻劑殘留物係實質被去除,,而 不必腐蝕室表面。另外,吾不到地發現,室表面 係被蚀刻劑^洗淨氣體組合步驟所蝕刻及調整,而不必個 ^_— -·— 〆----- 別室調整或$乾步驟。蝕刻基材25同時由室30中之表面 去除蚀刻殘留物之協調(不必中斷蝕刻處理或需要分別進 行洗淨或調整步驟)提供了於基材間之重大改良處释穩定 第17頁 本紙張尺度適用中國國豕標準(CNS)A4規格(21Q X 297公楚 -----------;----裝—— (請先閱讀背面之注意事項再填寫本頁) . --線· 經濟部智慧財產局員Μ消費合作社印製 475201 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 性,並增加了製程產出量。 ,---- 於本製程之一例子中,包含金屬矽化物或矽(即多晶 矽或單晶矽)之基材25係使用一包含ci2,N2及CF4及選 用〇2之處理氣體的電漿,以及,選擇以於蝕刻處理完成 時’實質去除形成於室表面上之所有蝕刻劑殘留物之體積 流率而加以蝕刻。該Ch,N2,及CF4之體積流率係加以 選擇以實質去除所有於室30中蝕刻至少2000基材25所 產生之蝕刻劑殘留物,而不必另執行一洗淨步驟,用以洗 淨該室。CF4 : (Ch + N2)之體積流率比例可以由約1:20 至約1 : 1。於另一例子中,該製程使用一包含Ci2,n2及 SF6之處理氣體,SF6 : (Cl2+N2)之體積流率係由約1 : 20 至約1 : 1。於另一例子中,一適當處理氣體包含Cl2,N2 及NF3, NF3 : (Cl2 + N2)之體積流率係由約1 ·· 20至約1 :;[。 於洗淨氣體之喷出係被引入蝕刻室3 0中一短暫時 間’以處理及調整室表面,於基材基材25仍為蝕刻氣體 所蝕刻之同時。包含蝕刻氣體之處理氣體係被引入室3 0 中,及處理氣體之成份係被調整至想要蝕刻氣體成份,以 ϋ刻於基材上之一或多層不同層材料。於蚀刻處理時,於 基材仍被蝕刻之同時,一洗淨氣體之短暫喷出係被加入於 處理氣體中β例如,洗淨氣體之噴出可以被加入用以蝕刻 一多晶層之蝕刻氣體中(於多晶矽層之主要蝕刻中)並於多 晶層過蝕刻步驟前結束。活化洗淨氣體之喷出提供了大量 高活性洗淨物種,以在蚀刻劑殘留物化學黏著至室表面 前,去除剛沉積之蝕刻劑殘留物。同時,一第一蝕刻氣體 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ' ' "" ---------------L--II 訂------ - -- 線—, (請先閱讀背面之注意事項再填寫本頁) 475201 A7
五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 成份可以產生較弱地黏著至室表面或具有化學較軟及反 應成份之一第一蝕刻劑殘留物;然而,當第二麵刻氣體引 入室中時,第二蝕刻氣體可以與第一蝕刻殘留物反應,並 使ί于其成為硬黏著殘留物。於這些處理中,洗淨氣㈣之短 暫噴出係於蝕刻氣體之第一階段中被引入室中,以於蚀刻 殘留物藉由曝露至另一化學氣體成份而化學變化前,去除 剛產生之蝕刻劑殘留物。一高流速喷出及洗淨氣體快速由 室30中移除同時也降低了洗淨氣體組合以形成其他會腐 蝕室表面及元件之化學物種的可能。這機制更為氣體喷出 之高流率所幫助,以由室30沖出反應副產物。活化洗淨 氣體之噴出可以引入於蝕刻室中’以等效於至少約4〇至 約20〇sccm之速率之流率,具有約40〇〇〇cm3之體積約 5至約100秒,以洗淨蝕刻劑殘留物,而不必腐蝕於室3〇 中之壁45,50,55及元件。 經濟部智慧財產局員工消費合作社印製 於處理完成後,基材2 5係使用適當釋放機構加以釋 放。一氣動抬舉設備180將抬舉銷抬高經靜電夾具145, 以將基材25提高,及一機械手臂輸送臂係被***於基材 2 5及夾具之間,以將基材抬離開抬舉銷。隨後,抬舉銷係 被縮入夾具145中,及機械手臂輸送第一基材25離開蝕 刻至J 〇並進入被維持於真空環境中之傳送室中。 多重蝕刻階段 於本製程之另一方面中,多重階段係被用以蝕刻於基 材上之多層,及洗淨氣體係於至少一階段中被引入,以去 除於一或多數之多重蝕刻步驟中沉積於室表面上之蝕刻 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 475201 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明說明() 劑殘留物,如於第3圖所示。該製程係有用於例如蚀刻於 碎晶圓上之多晶碎化金屬結構,其包含多層之碎化镇及多 晶矽層,或用以蝕刻氮化矽層或二氧化矽層。雖然,本發 明係例示為蝕刻這些層之内容,但應為熟習於此技藝者所 了解的是,該製程可以用以蝕刻例如金屬層及二氧化矽層 之其他層。因此,本製程應不被限定於此所提供之例子與 方法。 該多階處理包含多數蝕刻階段。於第一蝕刻階段中, 第一蝕刻氣體係被引入處理室3 〇中,及一電漿係被由第 一蝕刻氣體形成,以蝕刻於基材基材2 5上之第一層(例如 一矽化鎢層)。第一或矽化鎢層係典型使用一第一蝕刻處 理氣體加以蝕刻,該第一蝕刻處理氣體包含含氯氣體,例 如氯及氮之混合,及可選用氧。氯及氮之體積流率係約1 : 3至約1 : 1,更佳係約2 : 3。於室中之壓力係被維持於1 至10毫托耳,電感線圈源功率位準係200至800瓦,及 處理電極RF偏壓功率位準係為20至120瓦。 於第二蝕刻階段中,第二蝕刻氣體係引入室3 〇中, 及一電漿係形成以蝕刻第二層(例如於矽化鎢層下之多晶 矽層),於第一層已經完全被蝕刻後。第一或多晶矽層可 以以一個以上之蝕刻步驟加以蝕刻,以於每一蝕刻步驟間 改變其蝕刻氣體成份。適當之第二蝕刻氣體成份包含 Cl2,以由 20 至 120sccm 之流速,HBr 以約 8024〇sccni , 及He-02以2至lOsccm之流速。室壓係由約基材25至200 毫托耳。施加至電感天線11 5之源電流功率位準係由約 第20貫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ϋ ·ϋ ϋ ϋ n n I— n ϋ n n 1 I · ϋ n n ϋ i n n 一sej( I n n n n n n I (請先閱讀背面之注意事項再填寫本頁) 475201 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 2 00至8 00瓦,及施加至處理電極之電壓之偏壓RF功率 位準係由約2 0至1 2 0瓦。 於一或多數第一及第二階段中,一洗淨氣體係被加以 於一選定體積比率之勉_崖氣蹲中,使見^成於在一階段中 之蝕刻殘留物係於蝕刻處玉ϋ整個被去摩。形成於第一及 第二階段中之#刻殘留物係典型地笔合物有機化合 物,其係包含函素,碳,氫及氧。當含矽層被蝕刻時,蝕 刻劑殘留物包含於蚀刻於基材2 5上之碎化鎢及多晶碎層 時,所形成之主要二氧化矽化合物。然而,姓刻劑殘留物 可以由第一至第二層變化,第一蝕刻劑毯留物構成較多w 及S i物種,及第二蝕刻劑殘留物構成較多s i及〇物種。 因此’洗淨氣體係於第一或第二階段中被加入處理氣體 中,以可以去除形成於第一蝕刻階段中之第一蝕刻劑殘留 物之體積比例,於第一鈔刻階段中矽化鎢係被蝕刻,及於 第二蝕刻階段中所形成之第二蝕刻殘留中,多晶碎層被蝕 ㉚。吾&發現,洗淨氣體對蝕刻氣體之適當體積流率係由 約1 · 1 0至約2. J。例如,為了以約8 0 s c c m之C12及4 0 s c c m 之N2之流率係被加入以80sccm流率之包含CF4之洗淨氣 體中,以提供約2 : 3之洗淨氣體對第一蝕刻氣體之體積 比率。吾人發現’洗淨氣體對蝕刻氣體之這些體積流量比 " '~^ *-------- 率,即實質上(於蝕刻處理之各不同階段所形成之)於室表 面上之蝕刻劑殘留物係_去除,而不腐表面。另外, 吾人也意想不到發£見,室表面係被蝕刻劑及洗淨氣體組合 所洗淨整,而不需一個別風乾或調整處理步驟。 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) — II —- -----r------I-----^ I i (請先閱讀背面之注意事項再填寫本頁) 475201 A7
五、發明說明() 經濟部智慧財產局員工消費合作社印製 本發明之室處理已經發現以均勻地去除蝕刻劑殘留 物’而無關於蝕刻處理進行時之厚度及化學成份。先前技 藝洗淨處王里,特別是由-作業員所執v行者,經常未能均勻 洗淨及去除形成於室表面上之蚀刻殘留廣。蚀刻劑建立於 皇表面之况%,造成蝕刻沉積物之剥離及j蝕刻於室中之 基材2 5之污染"。藉由均勻地去J余形成於整個室表面之蝕 刻劑殘留物,此等污染及此等基贺25係被大量降低。 V洗淨、氣體同時,對於傳統内洗淨步驟,對於室 造成較少、、腐蝕損壞,0為於蝕刻室中之電漿降低能量位準 之故。於先前技藝處理中,這是很難完成的,於先前技藝 中’用以去除殘留沉積物之高功率電漿同時也造成過量之 室表面及成份之腐蝕。藉由赛J匕需要替換室元件之次數, 操作1: 30之成本及每基材25之成本係被大量降低。再 者’活化洗淨氣體可以用以於蝕刻基材25時,有效地洗 淨室3 0内部,而不必停止處理,以濕式洗淨該室壁及元 件’藉以增加蝕刻產量及進一步降低每基材成本。洗淨處 理係被期待以增加室壽命至少2之因數,同時,藉由降低 剥離蚀刻劑副產物之沉積至基材,而增加基材良率。 洗淨處理自室3 0之表面中去除了化學黏著蝕刻劑沉 積物’並回復原先化學反應性及這些表面之表面官能族, 而不需要於洗淨處理後之室調整。洗淨及處理製程係同時 特別有用於洗淨強力黏著至室表面或與室表面'起化學反 應之蝕刻劑殘留物。洗淨氣體係有效於處理及重新調整這 些陶瓷表面,以提供表面化學及表面官能族,其係能與蝕 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) n «ϋ ·ϋ m in n n It I I · ϋ n n n n ft an h δ,I ϋ an n n I I I I d 1 (請先閱讀背面之注意事項再填寫本頁) 475201 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 刻處理相谷者。已調整陶瓷表面於室3 〇中,較例如濕式 洗淨或RIE洗淨處理之其他室洗淨處理所能提供更可重製 之蝕刻特性。對執行於室3〇中之蝕刻處理的重大改進的 可重覆性係特別想要的。 多洗淨階段 於本發明之一方面中,於基材25上之多層不同材料 係被蝕刻於蝕刻室30之中.,及形成於蝕刻室之内表面的 蝕刻劑殘留物係為多步驟洗淨處理所去除或洗淨。多層可 以利用第2圖之室加以蝕刻,及蝕刻氣體可以包含例如 HC卜 BC13,HBR,Br2,Cl2,CC14,SiCl4,SF6,F,NF3, HF,CF3,CF4,CH3F,CHF3,C2H2F2 , C2H4F6 , C2F6, c3f8,c4f8,C2HF5,C4F10,CF2C12,cfci3,〇2,n2 ,
He及其混合物。予以蝕刻之層包含例如遮罩或其他硬層, 疊於若干含碎層上-這表示一層係由含元素矽之材料構 成’其可以包含元素妙及其所有化合物。基材25典型包 含一半導體材料,例如碎或砷化鎵晶圓,具有一組薄層, 包含例如一下層之一氧化碎’其係為用於Μ 〇 s電晶體之 氧化閘極層’及一多晶碎化金屬上層,其包含硬化金屬及 含矽層之組合。矽化金屬層包含例如矽化鎢,备,欽,或 麵。一包含光阻之遮罩層或例如二氧化矽或氮化碎之硬遮 罩層係形成於基材25上。於蝕刻製程中,遮罩層係触刻 於蝕刻室30中,然後,矽化金屬層係蝕刻於同/一室中, 隨後,一用以蚀刻多晶矽之主要及過姓刻步驟係被執行於 同一室中。用以蝕刻多層之傳統處理程序包含一遮罩蚀刻 第23頁 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公釐) II 1 — 111 — — — I I* · I I t請先間讀背面之注意事項再填寫本頁) . .線 五、發明說明() 步驟,珍化鎢蝕刻步騾,及 久王要及過蝕刻步驟,用以蝕刻 多晶梦層,如同表1所示。這些處理步驟隨後進行-釋放 步驟’其中-氮電浆(其對於多層殘留沉積物為非反應性) 係用以協助由靜電夾具釋放 ^ 卜 文基材。氮為主電漿提供相反電 荷,例如一負電電荷,其中 T和形成於基材上之靜電電荷, 允許基材予以容易地由夾具移開。 處理步驟 壓力 電源 偏壓功率 處理氣體成份(seem) (毫托耳) (瓦) (瓦) 蝕刻Si3N4罩 4 950 300 45CF4/1 30He/20CH2F2 WSi 5 300 100 50C12 多晶碎Μ E 4 270 40 20Ci2/90HBr/4He-O2 多晶碎0 Ε 50 500 80 50HBr/3He-O2 吾人發現當例如上述例示處理之一多步驟蝕刻處理 執行於單一室中時,形成於室壁及表面上之蝕刻劑殘留物 係特別地難以傳統蝕刻洗淨處理加以去除。多層材料之處 理於單一室中,例如蝕刻上層硬遮罩層,隨後,蝕刻一下 層多晶矽化金屬層,造成一包含多層或具有夾層型結構之 蝕刻劑殘留物之形成於室之内表面上。例如第4圖例示夾 層型殘留物之成份分佈,其係為此一蝕刻處理蚱沉積於室 壁及元件表面上者。於此例子中,基材包含具有1〇〇〇埃 氧化閉極層,1000埃多晶矽層,1200埃矽化鎢層,24〇〇 第24頁 本紙張尺度朝中國國家標準(CNS)A4規格(210 X 297公爱) 475201 A7 B7 五、發明說明( 埃氮化碎遮罩層,及上層80〇〇埃!-線光阻層之珍晶圓。 於氮化物遮罩蝕刻步驟3 〇 1 φ τ ’一相當大量之氟碳為主聚 合物殘留310係沉積於室表面上。於触刻其他切層之後 續步驟中,例如,碎化金屬從 金屬蝕刻步驟302,多晶矽主蝕刻 步驟^及多晶碎職刻步驟3Q4中―層二氧切32〇 - 為王I合物沉積物310上。此層二氣 化矽 320(100,200 埃)阶 +、生、< 塽)防止洗淨氣體到達或化學攻擊 聚σ物殘田物3 1 〇。結果’多步驟姓刻處理創造多層殘: 物,其係很困難為傳統洗淨處埋所去除。另外,❹刑多 層沉積物於敍刻處理中,係頻向於剥離並沉積污染::基 材上,特別是因為每一層乏嵚咏ne 、 …、恥脹係數經常彼此有很大差 異。於傳統處理中,-夹層型沉積物係、形成於室内表面 上,並典型具有由約〇至約1〇微米之總厚度。 吾人發現’多步驟㈣及洗淨處理係更有效於由室30 内表面去除殘留物。多步驟洗淨處理包含多洗淨階段,每 一洗淨階段係被調整以去除多層殘留物之—層。例如,若 殘留物包含第-層切材料,例如一層主要含二氧化/ 弟-洗命乱體包含主要碎或二氧切去除氣體,或氣體抑 制含碎層之形成者。隨後’例如氣破聚合物殘留物之一層 的第二層殘留物係使用第二洗淨氣體加以去除,第二洗^ 氣體主要包含氟碳聚合物去除物種。兩階段洗淨處理係Z 單階段洗淨處理,提供更有效之室内表面洗淨。/ 於此所提供之例子中,用於蚀刻氮化物遮罩層,㈣ 矽化鎢層A第一洗淨/殘留抑制步驟,及主要過心步驟: 第25頁 呑丁 部 智 慧 員 工 消 費 合 作 社 印 製 我張尺度適用中國國家標準(5sis)A4規格(2_1〇 — 475201 A7 Β7 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 五、發明說明( 以蚀刻多晶硬’以及使用含氧洗淨氣體之第二洗淨步驟之 處理條件係如於表2所示。 表 處理步驟 壓力 電源 偏壓功率 處理氣體成份(seem) (毫托耳) (瓦) (瓦) 蝕刻Si3N4罩 4 950 300 45CF4/130He/20CH2F2 WSi(洗淨) 4 600 60 8OCI2/8OCF4/4ON2 多晶矽ME 4 270 40 20Cl2/90HBr/4He-〇2 多晶矽OE 50 500 80 50HBr/3He-〇2 〇2(洗淨) 15 500 95〇2 氮化碎層係首先被蚀刻穿過,以形成一使用上層光阻 層之有圖案硬遮罩層。為了不限定本發明並例示之目的, 例示處理利用 45sccm CF4,130sccm He,及 20sccm CH2F2 之電漿以蝕刻例如含氮化物遮罩層之遮罩層,於4毫托耳 壓力之處理室中,以950瓦之電源及300瓦之偏壓功率。 隨後,包含蝕刻氣體及第一洗淨氣體組合之激勵氣體 係被用以蝕刻於基材上之例如矽化金屬層之一層,並φ;ρ制 含蝕刻劑殘留物之二氧化矽沉積於室表面上。洗淨氣體係 被加入蝕刻氣體中,以選定體積流比率,以抑制二氧化發 沉積於先前蝕刻階段所形成之聚合物沉積物上,其中含氮 化矽之遮罩層係被蝕刻。吾人發現於後續蝕刻步驟中沉積 之二氧化矽殘留物係足夠薄,以允許後續洗淨階段,以去 第26頁 -------------裝-----:----訂--------- (請先閱讀背面之注意事項再填寫本頁) 475201 A7 B7 五、發明說明() ·1 ϋ n ϋ n n n n n «I n 垂· ϋ n (請先閱讀背面之注意事項再填寫本頁) 除剩餘之含二氧化矽材料,以及剩餘殘留物之氟碳材料。 此含梦層姓刻處理步驟中,含二氧化矽殘留物之形成被抑 制及後續第二洗淨步驟造成一未想要之洗淨室,其中實質 上所有殘留物,於一些例子中所有殘留物係於蝕刻處理完 成時被移除。 --線· 梦化金屬層可以被以含Cl2,N2,〇2,HBr及He-〇2 之一或多數,及較佳為eh及N2之蝕刻氣體加以蝕刻。洗 淨氣體可以包含cf4,sf6及nf3之一或多數,較佳係cf4。 姓刻氣體對洗淨氣體之體積流率比係加以選擇,以適當地 蚀刻於層中之想要特性並抑制二氧化矽320之沉積至由遮 罩触刻3 1 0之殘留沉積物上。蝕刻氣體對洗淨氣體體積流 率係約1 : 1至約1 : 2〇,最妤是約3 : 2。於一特定成份 中’處理氣體包含80sccmCl2,80sccm之CF4,及40sccm &。電源係由約200至約800瓦,較佳係600瓦。偏壓功 率係由約20瓦至約120瓦,較佳係約60瓦。於室中之壓 力係由約1毫托耳至約5 0毫托耳,較佳係約4毫托耳。 經濟部智慧財產局員工消費合作社印製 隨後,多晶矽層係於兩階段中被蝕刻,包含一主蝕刻 階段及一過蝕刻階段。主蝕刻階段係使用一包含20sccm CI2’ 90sccmHBr,及4sccmHe-〇2之處理氣體電漿,4毫 托耳壓力,270瓦電源,及40瓦偏壓功率加以執行。過蝕 刻係使用包含50sccmHBr及3sccm He-02之及選用Cl2於 維持於50毫托耳及500瓦電源之室加以執行。 於本例子中,吾人已經發現含氧電漿可以用以去除殘 留於室壁面及表面上之含二氧化矽及氟碳為主聚合物殘 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 475201 A7 B7 五、發明說明() (請先閱讀背面之注意事項再填奪本頁) 留物3 1 0 °氧電漿洗淨步驟係於蝕刻或處理於基材上最後 殘留物創造層’例如多晶矽過蝕刻步驟後被執行。於此步 驟中’一例如氧物種產生氣體,氧或臭氧之含氧氣體係被 引入室中’及一電漿係藉由施加5〇0瓦功率位準之電流至 電感線圈而被維持。氧流量係被維持於約95seem及於15 毫托耳之氣壓。氧電漿洗淨實質上所有殘留於室表面之殘 留物’其包含一相當薄層之二氧化矽殘留物。若沉積物係 為一厚上層二氧化矽被部份保護離開一洗淨氣體所覆蓋 時’則下層聚合物沉積物3 1 〇之去除係更困難。另外,氧 電漿同時也作用以去除室中之殘留電荷,以當基材被靜電 夾持於室中之電極上時,藉由提供一相反電荷,例如一負 電電荷加以釋放基材。 -線· 經濟部智慧財產局員工消費合作社印製 用以洗淨室之壁面及表面上之殘留物之洗淨氣體可 以包含其他氣體組成物,其洗淨氟碳聚合物殘留物3 1 〇及 含二氧化矽殘留物320之組合。例如,洗淨氣體同時包含 由約1毫托耳至約100毫托耳之壓力之氧,電漿被由約200 瓦至約1 0 0 0瓦之電源所保持。於另一例子中,處理氣體 包含95 seem 〇2’於15毫托耳壓力及電漿係形成於5〇〇瓦 電源位準。 , 第5圖為以本發明之方法,所沉積於室壁上之蚀刻劑 殘留物之組成分佈圖,其係於蝕刻氮化物罩3 5 1,碎化金 屬蝕刻352,多晶矽主蝕刻3 53,多晶矽過蝕刻勺54,及氧 氣洗淨步驟之處理步驟所形成。第5圖之資料係使用用於 第4圖中之資料,相同類型之基材所取得。比較第4及5 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 積及移除率。殘留層係藉由將基材曝露至包冬 45sccmcF4,130sccmHe,20sccmCH2F2,— 35〇 瓦偏心 率及65°C陰極溫度之處理氣體加以形成。可以看到殘留冗 積物係相當穩定’每分鐘由約75至…。沉積於裸發 晶圓上之聚合物殘留係隨後被以下處埋條件所去除. 95SCCm之氧流率,15毫托耳之氣壓,州瓦之電源,^ 壓功率’ & 65t陰極溫度。示於此圖中之㈣率係較言, 約350埃每分。相較於殘留物之沉積率-含氧洗淨步:之 較高效率表示殘留可以較快及較有效地為本處理所移 除,而不會過量降低基材處理設備之停機時間。 於第7圖中,具有不同成份及厚度之多層含殘留物層 《移除率被顯示。料些例子中,包含成份上不同層之殘 ==形成於試品上。於試品1上之殘留物係簡單由聚 一 /、上,又哥一虱化矽。於試品2上之殘留物由 :層聚合物殘留物及一 130埃含二氧切殘留物上層構 二。試品3具有一 385埃厚氧切於聚合物殘留物層上。 取後’試品4沒有氧切沉積’ @是_簡單之4。埃厚之 :合物殘留層。可以“ ’只有聚合物殘留物之試品!及 ’相對於試品2及3之含二氧切殘留物,係以州至 0埃之較高速率移除》事實上,沉積於試品2及3上之 殘留物移料係範圍由約5G埃至約⑽@此,氧化 :層(試品…)之移除率增加了聚合物殘留物之移除率 於=8#’运是為相當高之移除率。也可以看出,形成 永》物殘留物上之含二氧化發殘留物之厚度的降低-由 第30頁 x 公釐r I I I - II — - I - I-----I l· ---^ ---II---I 1 ! (請先閱讀背面之注音?事項再填寫本頁} A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 約385埃降低至約130埃-造成了於每分1〇〇埃至每分2〇〇 埃(殘留物移除率之淨變化,或兩倍快。這於殘留物移除 率上提供了重大改良’並為意想不到的。 於另一實施例中,第8圖示出施加至電感線圈之功率 位準增加之殘留物之移除率。於此例子中,處理條件包含 95SCcm之氧流率,15毫托耳之壓力,可變量之電源,〇 瓦偏壓功率,及65 C陰極壁溫度。可以看出,當電源由 400瓦增加至約750至800瓦時,移除殘留物之速率保持 於約每分320埃之定值。然而,於電源位準由約8〇〇至約 900瓦時,殘留物之移除率係大量改良,並由每分35〇埃 增加至1200埃。因此,於本發明之另一方面中,吾人發 現電源功率位準應被維持於高位準。所選定電源功率位準 係取決於處理氣體成份,氣體壓力,其係典型於至少約7〇〇 瓦。超出約600埃每分之殘留物移除率已經於這些功率位 準中被取得。 一石英晶體微量天平(QCM)係被用以決定於蝕刻處 理中,沉積於室表面上之蝕刻劑殘留物量。石英晶體微量 天平包含一壓電板,其當蝕刻殘留物膜沉積於其上,如於 美國專利申請案第09/1 16,621號案所述時改變電容值, 該案係併入作為參考。於完成於此所揭示之量測時,微量 天平係被安裝於處理室之内表面上,其接腳係被連接至處 理室外之電腦。 ,/ 本發明已經參考某些版本加以說明;然而,其他版本 也可能。例如,本發明之處理及洗淨處理可以用以處理室 本紙張尺度適用中國國豕標準(CNS)A4規格(210 X 297公餐) II--丨! — — — — — — · I I I ----訂-- - ----- - (請先閱讀背面之注意事項再填寫本頁) 475201 A7 B7 示之版本 五、發明說明( 或其他應用中’這對於熟習於本技藝者係明顯的。例如, 可以為熟習於此技藝者所知,該處理可以應用以處理濺鍍 室,離子佈植罜或沉積室,或組合其他洗淨處理。因此, 隨後之申請專利範圍精神及範圍不應被限定於於此所揭 (請先閱讀背面之注音?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第32頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 475201 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 1 . 一種處理一室以由室表面中移除殘留物之方法,該方法 至少包含步驟: (a) 提供一已激能第一處理氣體於室中,以處理室中 之表面;及 (b) 提供一已激能第二處理氣體於室中,以進一步處 理室中之表面,該第二處理氣體係與第一處理氣體不 同。 2. 如申請專利範圍第1項所述之方法,其中上述之第一處 理氣體包含氟化氣體。 3. 如申請專利範圍第2項所述之方法,其中上述之氟化氣 體包含CF4,SF6及NF3之一或多數。 4. 如申請專利範圍第1項所述之方法,其中上述之第二處 理氣體包含一含氧氣體。 5. 如申請專利範圍第4項所述之方法,其中上述之含氧氣 體本質上由氧構成。 , 6. 如申請專利範圍第1項所述之方法,其中上述之處理該 室包含洗淨該室。 / 7. —種蝕刻於室中之基材及洗淨形成於該室中表面上之姓 第33頁 ----------„----裂--------訂---------線 (請先閱讀背面之注意事項再填萬本頁) 本紙張尺度適用中國國家標準CCNS)A4規格(210 X 297公釐〉 475201 A8B8C8D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 刻劑殘留物的方法,該方法至少包含步驟: (a) 放置基材於室中; (b) 於第一階段中,提供一已激能第一處理氣體於室 中,該第一處理氣體包含一基材蝕刻氣體及一第一洗淨 氣體;及 (c) 於第二階段中,提供一已激能第二處理氣體於室 中,該第二處理氣體包含第二洗淨氣體,其係與第一洗 淨氣體不同。 8. 如申請專利範圍第7項所述之方法’其中上述之第一處 理氣體包含氟化氣體β 9. 如申請專利範圍第8項所述之方法’其中上述之氟化氣 體包含CF4,SF6及NF3之一或多數。 10·如申請專利範圍第7項所述之方法,其中上述之第二洗 淨氣體包含一含氧氣體。 1 1.如申請專利範圍第1〇項所述之方法,其中上述之含氧 氣體本質上由氧構成。 1 2 ·如申請專利範圍第7項所述之方法,其中上述之基材蝕 刻氣體包含一氣體,其能餘刻於基材上之金屬矽化物 層。 I III — !«11[1111 ---I I 1 I I ^ «ΙΙΙΙΙΙΙ — (請先閱讀背面之注意事項再考烏本頁) 表紙張尺&_中_家辟(CNS)A4規格⑽ 外/32U1 —DB 六、申請專利範圍 1 3 ·如申請專利範圍第7項所述之方法,其中上述之基材蝕 刻氣體包含ci2 , n2,〇2,HBr及He-〇2之一或多數。 ---------.----裝 i· (請先閱讀背面之注意事項再餮駕本頁) 1 4.如申請專利範圍第7項所述之方法,其中上述之基材蝕 刻氣體對第一洗淨氣體之髗積流率係由約1 : 1至約 20 : 1 〇 1 5 ·如申請專利範圍第7項所述之方法,其中上述之已激能 第二處理氣體係被於基讨仍於室中時被提供於該室 中〇 1 6.如申請專利範圍第7項所述之万法,其中上述之步驟(a) 中’基材係被靜電夾持於室中之靜電夾具上,及於步驟 (Ο中,包含提供第二處理氣體之負電電漿。 1 7.如申請專利範圍第7項所述之方法,其中上述之第二階 段中,室壓係維持於由约1毫托耳至約丨〇毫托耳。 經濟部智慧財產局員工消費合作社印製 1 8.如申請專利範圍第7項所述之方法,其中上述之第二階 段中,電源功率對偏壓功率之比率係由約5 ·· 3至約4〇 : 1 ° 19. 一種蝕刻於室中之基材及洗淨形成於該室中表面上之 蝕刻劑殘留物的方法,該方法至少包含步驟: 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 475201 A8B8C8D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 (a) 放置基材於室中; (b) 蝕刻於基材上之第一材料,藉以沉積第一蝕刻劑 殘留物於室中之表面上; (c) 於抑制第二蝕刻劑殘留物沉積於第一蝕刻殘留物 上之同時,蝕刻於基材上之第二材料,該第一蝕刻劑殘 留物係與第二蝕刻劑殘留物於成份上不同;及 (d) 洗淨形成於室中表面上之第一及第二蝕刻劑殘留 沉積物。 2 0.如申請專利範圍第19項所述之方法,其中上述之蝕刻 第一材料包含提供一激能第一氣體於室中之步驟。 2 1.如申請專利範圍第20項所述之方法,其中上述之蝕刻 第二材料包含提供一激能第二氣體於室中之步驟。 22. 如申請專利範圍第21項所述之方法,其中上述之第一 氣體包含第一洗淨氣體及第二氣體包含第二洗淨氣 體。 23. 如申請專利範圍第22項所述之方法,其中上述之第一 洗淨氣體包含氟化氣體。 24. 如申請專利範圍第23項所述之方法,其中上述之氟化 氣體包含CF4,SF6及NF3之一或多數。 第36頁 ------- ----I I --------訂- - ---II-- (請先閱讀背面之注意事項再壤寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 475201 A8B8C8D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 25 ·如申請專利範圍第22項所述之方法,其中上述之第二 洗淨氣體包含一含氧氣體。 26·如令請專利範圍第25項所述之方法,其中上述之含氧 氣體本質上由氧構成。 27·—種蝕刻於室中之基材及洗淨形成於該室中表面上之 蚀刻劑殘留物的方法,該方法至少包含步驟: (a) 靜電夾持基材於室中; (b) 提供一第一已激能氣體於室中,該第一激能氣體 包含一蚀刻氣體’以蝕刻該基材及一殘留物洗淨氣體; 及 (e)提供一第二已激能氣體於室中,以洗淨沉積於室 中表面上之蝕刻劑殘留物,並同時移除累積於基材中之 殘留物電荷。 28·如申請專利範圍第27項所述之方法,其中上述之第一 激能氣體包含氟化氣體。 29·如申請專利範圍第28項所述之方法,其中上述之氣化 氣體包含CF4,SF6及NF3之一或多數。 3 0·如申請專利範圍第27項所述之方法,其中上述之第二 激能氣體包含一含氧氣體。 第37頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公麓Ί ^ ------ I I I I 1--I I I I -------1 ^ «III I — I I · (請先閲讀背面之注意事項务本頁) 475201 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 3 1 ·如申請專利範圍第30項所述之方法,其中上述之含氧 氣體本質上由氧構成。 3 2·如申請專利範圍第27項所述之方法,其中上述之蝕刻 氣體包含一氣體,其能蝕刻於基材上之金屬矽化物層。 3 3.如申請專利範圍第27項所述之方法,其中上述之蝕刻 氣體包含CI2,N2,〇2,HBr及He-〇2之一或多數。 34. 如申請專利範圍第27項所述之方法,其中上述之蝕刻 氣體對殘留洗淨氣體之體積流率係由約1 : 1至約20 : 1 ° 35. —種蝕刻於室中之基材及洗淨形成於該室中表面上之 殘留物的方法,該方法至少包含步驟: (a) 放置基材於室中; (b) 於蝕刻階段中,使用已激能氣體,以蝕刻於基材 上之一或多數材料,至少已激能氣體之一成份包含一蝕 刻氣體,其係包含CI2’ N〗’ 〇2 ’ HBr及He-〇2之或 多數;及一殘留物洗淨氣體,其包含CF4,SF6及NF3 之一或多數;及 (c) 使用含氧之另一激能氣體,洗淨形成於室中之表 面上之殘留物。 --- - ----------------訂--------- (請先閱讀背面之注意事項再务寫本頁) 第38頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) A8B8C8D8 六 申請專利範圍 36·如申請專利範圍第35項所述之方法,…述之蚀刻 氣體對殘留物洗淨氣體之體積流率係由約1 ·玉至約 3 7 ·如申請專利範圍第3 5項μ、七、士、土 ^ ^ 礼闽示負所述《万法,其中上述之包含 氧之激此氣體係於基材仍於室中時被提供於室中。 3 8 ·如t請專利範圍第35項所述之方法,其中上述之步驟 (a)中,基材係被靜電夾持於室中之靜電夾具中,及於步 鄹(c)中,包含氧之激能氣體包含一電漿,其協助將基材 自靜電夾具中釋放。 j9· 一種触刻於室中之基材及洗淨形成於該室中表面上之 洗淨殘留物的方法,該方法至少包含步驟: (a) 將一基材傳送至室中並靜電夾持基材於該靜電失 具上’該基材包含一遮罩層; (b) 提供一已激能氣體於室中,以蝕刻在該基材上之 遮罩層,藉以於該室中表面上形成殘留物,該殘留物包 含由遮罩層所啟源之化學物種; (c) 提供另一已激能氣體於室中,以蝕刻於遮罩層下 之材料,該已激能氣體包含蝕刻氣體及殘留洗淨氣體, 該蝕刻氣體包含Cl2,N2,02,HBr及He-Ο〆之一或多 數;及殘留物洗淨氣體,其包含CF4,SF6及NF3之一 或多數;及 第39頁 本紙張尺度適用中國國家標準<^幻八4規格(210 X 297公H 〜 ----------------------訂--------- (請先閲讀背面之注意事項再爭本頁) 經濟部智慧財產局員X消費合作社印制衣 A8 B8
    經濟部智慧財產局員工消費合作社印製 申請專利範圍 (d)提供一含氧電漿於該室中’以協助以將基材釋放 並洗淨形成於室中表面上之殘留物。 4 0.如申請專利範圍第39項所述之方法,其中上述之蝕刻 氣體對殘留物洗淨氣體之體積流率係由約1 : 1至約 20 : 1 〇 4 1. 一種洗淨一室以由室中表面移除殘留物之方法,該方法 至少包含步驟: Ο)提供一激能第一處理氣體於室中,以洗淨室中之 表面;及 (b)調整室電源功率,以控制由表面所移除之殘留物 數量。 42. 如申請專利範圍第41項所述之方法,其中上述之步驟 (b)包含增加室電源功率,以增加由表面移除之殘留物數 量 ° 43. 如申請專利範圍第41項所述之方法,更包含步驟有維 持室偏壓功率於實質零瓦》 44·如申請專利範圍第41項所述之方法,其中上述之第一 處理氣體包含一含氧氣體。 第40頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------裝--------訂· (請先閱讀背面之注意事項再填A本頁) 475201 A8B8C8D8 六、申請專利範圍 45·如申請專利範圍第44項所述之方法,其中該含氧氣體 本質上係由氧構成。 -------------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第41頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉
TW089115064A 1999-07-27 2000-07-27 Multiple stage process for cleaning process chambers TW475201B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/362,924 US6872322B1 (en) 1997-11-12 1999-07-27 Multiple stage process for cleaning process chambers

Publications (1)

Publication Number Publication Date
TW475201B true TW475201B (en) 2002-02-01

Family

ID=23428074

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089115064A TW475201B (en) 1999-07-27 2000-07-27 Multiple stage process for cleaning process chambers

Country Status (5)

Country Link
US (1) US6872322B1 (zh)
EP (1) EP1198829A1 (zh)
KR (1) KR100738850B1 (zh)
TW (1) TW475201B (zh)
WO (1) WO2001008209A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107359113B (zh) * 2017-07-28 2021-04-13 武汉光谷量子技术有限公司 一种使用RIE设备刻蚀InP材料的方法及刻蚀InP材料
TWI825088B (zh) * 2018-04-12 2023-12-11 荷蘭商Asml荷蘭公司 夾持設備及微影設備

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100508749B1 (ko) * 1998-06-01 2005-11-21 삼성전자주식회사 반도체장치 제조용 식각설비 및 이를 이용한 식각방법
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
KR100881045B1 (ko) * 2001-05-04 2009-01-30 램 리써치 코포레이션 챔버 내 잔여물의 2단계 플라즈마 세정
US6946408B2 (en) * 2001-10-24 2005-09-20 Applied Materials, Inc. Method and apparatus for depositing dielectric films
JP2003234299A (ja) * 2002-02-12 2003-08-22 Research Institute Of Innovative Technology For The Earth クリーニングガス及びエッチングガス
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP4131813B2 (ja) * 2002-10-24 2008-08-13 株式会社半導体エネルギー研究所 プラズマエッチング方法及び半導体装置の作製方法
KR101155841B1 (ko) * 2003-03-03 2012-06-20 램 리써치 코포레이션 이중 도핑된 게이트 애플리케이션에서 프로파일 제어 및n/p 로딩을 개선하는 방법
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US20040261815A1 (en) * 2003-06-27 2004-12-30 Texas Instruments, Incorporated Three-step chamber cleaning process for deposition tools
US7192874B2 (en) * 2003-07-15 2007-03-20 International Business Machines Corporation Method for reducing foreign material concentrations in etch chambers
US7479454B2 (en) * 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US20050066994A1 (en) * 2003-09-30 2005-03-31 Biles Peter John Methods for cleaning processing chambers
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
DE10358025A1 (de) * 2003-12-11 2005-07-21 Infineon Technologies Ag Verfahren zum Ätzen von Wolfram mit einer kontrollierten Seitenwandpassivierung und mit hoher Selektivität zu Polysilizium
US7713431B2 (en) * 2004-06-10 2010-05-11 Tokyo Electron Limited Plasma processing method
US7167091B2 (en) * 2004-07-16 2007-01-23 Safeview, Inc. Vehicle activated millimeter-wave interrogating
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
KR100562657B1 (ko) * 2004-12-29 2006-03-20 주식회사 하이닉스반도체 리세스게이트 및 그를 구비한 반도체장치의 제조 방법
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7871532B2 (en) * 2005-02-28 2011-01-18 Tokyo Electron Limited Plasma processing method and post-processing method
US7452823B2 (en) * 2005-03-08 2008-11-18 Tokyo Electron Limited Etching method and apparatus
KR100719801B1 (ko) * 2005-09-05 2007-05-18 주식회사 아이피에스 반도체 장비의 내식성 강화방법
US7485580B2 (en) * 2005-09-20 2009-02-03 Air Products And Chemicals, Inc. Method for removing organic electroluminescent residues from a substrate
KR100699678B1 (ko) * 2005-12-28 2007-03-23 동부일렉트로닉스 주식회사 하드 마스크를 이용한 패턴 형성 방법
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US7799237B2 (en) * 2006-05-25 2010-09-21 Sony Corporation Method and apparatus for etching a structure in a plasma chamber
KR100653217B1 (ko) * 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
JP4159584B2 (ja) * 2006-06-20 2008-10-01 エルピーダメモリ株式会社 半導体装置の製造方法
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US7550090B2 (en) * 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
EP1998389B1 (en) * 2007-05-31 2018-01-31 Applied Materials, Inc. Method of cleaning a patterning device, method of depositing a layer system on a substrate, system for cleaning a patterning device, and coating system for depositing a layer system on a substrate
US20090211596A1 (en) * 2007-07-11 2009-08-27 Lam Research Corporation Method of post etch polymer residue removal
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US8293649B2 (en) * 2009-12-18 2012-10-23 Global Unichip Corp. Release accumulative charges on wafers using O2 neutralization
US8084289B2 (en) * 2010-02-26 2011-12-27 United Microelectronics Corp. Method of fabricating image sensor and reworking method thereof
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CN102194836B (zh) * 2010-03-16 2016-03-16 联华电子股份有限公司 图像感测元件的制造方法及其重新制作方法
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
TWI488234B (zh) * 2010-06-29 2015-06-11 Global Unichip Corp 利用氧氣中和釋放晶圓上堆積之電荷
US8906248B2 (en) * 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch
US10069443B2 (en) * 2011-12-20 2018-09-04 Tokyo Electron Limited Dechuck control method and plasma processing apparatus
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US10163656B2 (en) * 2013-11-16 2018-12-25 Applied Materials, Inc. Methods for dry etching cobalt metal using fluorine radicals
JP6234271B2 (ja) * 2014-02-25 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
JP6374781B2 (ja) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 プラズマ処理方法
US10535505B2 (en) 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
US11521838B2 (en) * 2018-12-18 2022-12-06 Applied Materials, Inc. Integrated cleaning process for substrate etching
CN113846312A (zh) * 2021-08-30 2021-12-28 北京北方华创微电子装备有限公司 一种降低半导体设备工艺腔室内金属污染的方法

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5782955A (en) 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
CA1204525A (en) 1982-11-29 1986-05-13 Tetsu Fukano Method for forming an isolation region for electrically isolating elements
AU544534B2 (en) 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4490209B2 (en) 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
JPH0824114B2 (ja) 1984-11-09 1996-03-06 株式会社日立製作所 プラズマエッチング方法
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US4779991A (en) 1986-01-16 1988-10-25 Wako Pure Chemical Industries Ltd. Bottle for mixing and method for mixing with the said bottle
JPH0740566B2 (ja) 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4863561A (en) 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
JPH0793291B2 (ja) 1986-12-19 1995-10-09 アプライド マテリアルズインコーポレーテッド シリコンおよび珪化物のための臭素およびヨウ素エッチング方法
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPS6450427A (en) 1987-08-21 1989-02-27 Hitachi Ltd Plasma processing
US4876212A (en) 1987-10-01 1989-10-24 Motorola Inc. Process for fabricating complimentary semiconductor devices having pedestal structures
US4799991A (en) 1987-11-02 1989-01-24 Motorola, Inc. Process for preferentially etching polycrystalline silicon
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US5035768A (en) 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US4992134A (en) 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
EP0439101B1 (en) * 1990-01-22 1997-05-21 Sony Corporation Dry etching method
US5110411A (en) 1990-04-27 1992-05-05 Micron Technology, Inc. Method of isotropically dry etching a poly/WSix sandwich structure
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
EP0463373A3 (en) 1990-06-29 1992-03-25 Texas Instruments Incorporated Local interconnect using a material comprising tungsten
JP2964605B2 (ja) 1990-10-04 1999-10-18 ソニー株式会社 ドライエッチング方法
US5094712A (en) 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5160407A (en) 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5338398A (en) 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5431772A (en) 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
JP3210359B2 (ja) 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JP3253675B2 (ja) 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5358601A (en) 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
DE4132559A1 (de) 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
US5318668A (en) 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US5176792A (en) 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5192702A (en) 1991-12-23 1993-03-09 Industrial Technology Research Institute Self-aligned cylindrical stacked capacitor DRAM cell
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
DE4202158C1 (zh) 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5282899A (en) 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5188980A (en) 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
JP3334911B2 (ja) 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
US5256245A (en) 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
JP3227522B2 (ja) 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5413954A (en) 1992-11-10 1995-05-09 At&T Bell Laboratories Method of making a silicon-based device comprising surface plasma cleaning
JP3277394B2 (ja) 1992-12-04 2002-04-22 ソニー株式会社 半導体装置の製造方法
JP3259380B2 (ja) 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
EP0938134A3 (en) 1993-05-20 2000-01-19 Hitachi, Ltd. Plasma processing method
US5384009A (en) 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
JPH0729879A (ja) 1993-06-24 1995-01-31 Sony Corp 半導体装置の製造方法
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5354417A (en) 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5382316A (en) 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07147271A (ja) 1993-11-26 1995-06-06 Nec Corp 半導体装置の製造方法
US5741396A (en) 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
US5620615A (en) 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
JPH0864559A (ja) 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5521119A (en) 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5514622A (en) 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5529197A (en) 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JPH0982687A (ja) 1995-09-19 1997-03-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5644153A (en) 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5651856A (en) 1996-01-22 1997-07-29 Micron Technology, Inc. Selective etch process
US5874363A (en) 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US6159811A (en) * 1996-05-15 2000-12-12 Samsung Electronics Co., Ltd. Methods for patterning microelectronic structures using chlorine, oxygen, and fluorine
US5700741A (en) 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH1023387A (ja) 1996-07-04 1998-01-23 Ekushingu:Kk Catvセンタ装置
US5851926A (en) 1996-10-01 1998-12-22 Applied Materials, Inc Method for etching transistor gates using a hardmask
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5869401A (en) 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5891799A (en) * 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107359113B (zh) * 2017-07-28 2021-04-13 武汉光谷量子技术有限公司 一种使用RIE设备刻蚀InP材料的方法及刻蚀InP材料
TWI825088B (zh) * 2018-04-12 2023-12-11 荷蘭商Asml荷蘭公司 夾持設備及微影設備

Also Published As

Publication number Publication date
KR20020070255A (ko) 2002-09-05
WO2001008209A1 (en) 2001-02-01
US6872322B1 (en) 2005-03-29
KR100738850B1 (ko) 2007-07-12
EP1198829A1 (en) 2002-04-24

Similar Documents

Publication Publication Date Title
TW475201B (en) Multiple stage process for cleaning process chambers
TW449822B (en) Process for etching silicon-containing layers on semiconductor substrates
TW540114B (en) Substrate cleaning apparatus and method
US6136211A (en) Self-cleaning etch process
US6787054B2 (en) Two-stage etching process
TW417230B (en) Method for improved sputter etch processing
US8912633B2 (en) In-situ photoresist strip during plasma etching of active hard mask
US20080182422A1 (en) Methods of etching photoresist on substrates
US20060201911A1 (en) Methods of etching photoresist on substrates
US20060051967A1 (en) Wafer bevel polymer removal
TW200304183A (en) Electrode for dry etching a semiconductor wafer
TW561546B (en) Processing method
JPH07153746A (ja) ドライエッチング室のクリーニング方法
KR100676206B1 (ko) 반도체 디바이스 제조설비에서의 프로세스 챔버 세정 방법
TW200425247A (en) Method and apparatus for multilayer photoresist dry development
US6071828A (en) Semiconductor device manufacturing method including plasma etching step
JP3946031B2 (ja) 半導体装置の製造方法
JP7232135B2 (ja) ドライエッチング方法及びデバイスの製造方法
JPH08279487A (ja) プラズマ処理方法
Ryu et al. Roles of N 2 gas in etching of platinum by inductively coupled Ar/Cl 2/N 2 plasmas
TW486742B (en) Gate etch process for 12 inch wafers
JP3742797B2 (ja) プラズマ処理方法
JP2003059897A (ja) 自然酸化膜除去方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees