US20090218233A1 - Method of Forming a Multilayer Structure - Google Patents

Method of Forming a Multilayer Structure Download PDF

Info

Publication number
US20090218233A1
US20090218233A1 US12/085,176 US8517606A US2009218233A1 US 20090218233 A1 US20090218233 A1 US 20090218233A1 US 8517606 A US8517606 A US 8517606A US 2009218233 A1 US2009218233 A1 US 2009218233A1
Authority
US
United States
Prior art keywords
layer
structures
substrate
seed layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/085,176
Other languages
English (en)
Inventor
Mikael Fredenberg
Patrik Moller
Peter Wiwen-Nilsson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Replisaurus Group Sas
Original Assignee
Replisaurus Technologies AB
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Replisaurus Technologies AB filed Critical Replisaurus Technologies AB
Assigned to REPLISAURUS TECHNOLOGIES AB reassignment REPLISAURUS TECHNOLOGIES AB ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WIWEN-NILSSON, PETER, FREDENBERG, MIKAEL, MOLLER, PATRIK
Publication of US20090218233A1 publication Critical patent/US20090218233A1/en
Assigned to REPLISAURUS GROUP SAS reassignment REPLISAURUS GROUP SAS ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: REPLISAURUS TECHNOLOGIES AB, REPLISAURUS TECHNOLOGIES INC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0075Manufacture of substrate-free structures
    • B81C99/0085Manufacture of substrate-free structures using moulds and master templates, e.g. for hot-embossing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • C23C14/3414Metallurgical or chemical aspects of target preparation, e.g. casting, powder metallurgy
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/0033D structures, e.g. superposed patterned layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/10Moulds; Masks; Masterforms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • C25D7/126Semiconductors first coated with a seed layer or a conductive layer for solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • C25F3/14Etching locally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/07Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process being removed electrolytically
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/241Reinforcing the conductive pattern characterised by the electroplating method; means therefor, e.g. baths or apparatus
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4647Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits by applying an insulating layer around previously made via studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/01Tools for processing; Objects used during processing
    • H05K2203/0104Tools for processing; Objects used during processing for patterning or coating
    • H05K2203/0117Pattern shaped electrode used for patterning, e.g. plating or etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/0733Method for plating stud vias, i.e. massive vias formed by plating the bottom of a hole without plating on the walls
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/108Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by semi-additive methods; masks therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Definitions

  • the present invention relates to an etching and/or plating method for simplifying production of applications involving micro and nano structures in multiple layers.
  • the method is particularly useful for fabrication of PWB (printed wiring boards), PCB (printed circuit boards), MEMS (micro electro mechanical systems), IC (integrated circuit) interconnects, above IC interconnects, sensors, flat panel displays, magnetic and optical storage devices, etc.
  • PWB printed wiring boards
  • PCB printed circuit boards
  • MEMS micro electro mechanical systems
  • IC integrated circuit interconnects
  • sensors flat panel displays
  • magnetic and optical storage devices etc.
  • Different types of structures in conductive polymers, structures in semiconductors, structures in metals, and others are possible to produce using this method. Even 3D-structures in silicon, by using formation of porous silicon, are possible to produce.
  • WO 02/103085 relates to an electrochemical pattern replication method, ECPR, and a construction of a conductive electrode for production of applications involving micro and nano structures.
  • An etching or plating pattern which is defined by a conductive electrode, master electrode, is replicated on an electrically conductive material, a substrate.
  • the master electrode is put in close contact with the substrate and the etching/plating pattern is directly transferred onto the substrate by using a contact etching/plating process.
  • the contact etching/plating process is preformed in local etching/plating cells that are formed in closed or open cavities between the master electrode and the substrate.
  • Patent application US 2005/0202180 discloses electrochemical fabrication methods for forming single and multilayer mesoscale and microscale structures.
  • diamond machining e.g. fly cutting or turning
  • sacrificial and structural materials are described, which are useful in electrochemical fabrication and which can be diamond machined with minimal tool wear (e.g. Ni—P and Cu, Au and Cu, Cu and Sn, Au and Cu, Au and Sn and Au and Sn—Pb, where the first material or materials are the structural materials and the second is the sacrificial material).
  • Methods for reducing tool wear are also described when using diamond machining to planarize structures being electrochemically fabricated using difficult-to-machine materials, e.g.
  • a master electrode which may be used in the present invention, is described in Swedish patent application No. 0502539-2 entitled: “Electrode and method of forming the electrode”. The content of this patent specification is incorporated herein by reference.
  • a problem of prior art multilayer methods is the fact that during the planarization step, at least two materials are required to be removed at the same time.
  • the problem is larger if the two materials have different properties, such as if one of the material is hard, such as a metal, and the other material is soft, such as a plastics material, glass material or porous material, for example a dielectricum.
  • planarization takes place by a mechanical polishing action or chemical-mechanical polishing action, several problems may arise.
  • Such polishing action is performed by a plate which moves relative to the material to be planarized, such as by rotation, translation or rolling.
  • both soft material and hard material may be removed. This may result in that the soft material is removed at a higher rate than the hard material, known as erosion or dishing, resulting in recessions in the soft material between the hard material. The final result may be unsuitable for the following processing.
  • a further problem of prior art multilayer methods is the fact that the thickness of the structure layer may be difficult to control.
  • a yet further problem of prior art multilayer methods is the fact that it cannot fill vias or holes in the structure in an even manner.
  • Yet another problem is that it may be difficult to achieve a plane final result if the structures are relatively uneven from the start.
  • An object of the present invention is to provide a method for forming multilayer structures, in which the risk of erosion or dishing has been reduced or even eliminated.
  • a further object is to provide a method of forming multilayer structures, in which the number of steps may be reduced.
  • a still further object is to provide a method of forming multilayer structures, in which vias can be filled in a uniform manner.
  • a method of forming a multilayer structure by electroplating on a substrate comprising: a) arranging an electrically conducting seed layer on at least a part of the substrate or a substrate layer; b) applying a master electrode on said seed layer, said master electrode having an electrically conducting electrode layer, an anode material and an insulating pattern layer for forming at least one electrochemical cell comprising an electrolyte in the area enclosed by said anode material, said insulating pattern layer and said seed layer; wherein said anode material is being in electrical contact with said conducting electrode layer; c) applying a voltage between said conducting electrode layer and said seed layer so that said seed layer forms a cathode for transferring at least some of said anode material in said at least one cell to said seed layer for forming plated structures corresponding to the cavities of the insulating pattern layer on the master electrode; d) separating said master electrode from said substrate; e) removing said seed layer in non-plated areas; f) arranging
  • a method of forming a multilayer structure by electrochemical etching of a substrate comprising: a) arranging an electrically conducting seed layer on at least a part of the substrate or a substrate layer; b) applying a master electrode on said seed layer, said master electrode having an electrically conducting electrode layer and an insulating pattern layer for forming at least one electrochemical cell comprising an electrolyte in the area enclosed by said conducting electrode layer, said insulating pattern layer and said seed layer; c) applying a voltage between said conducting electrode layer and said seed layer so that said seed layer forms an anode for etching the seed layer and that said conducting electrode layer forms a cathode for depositing etched material in said at least one cell for forming etched structures corresponding to the insulating pattern layer on the master electrode; d) separating said master electrode from said substrate; e) removing possible remaining seed layer in between the etched structures; f) arranging a material in the areas between the etched structures for forming a material layer that
  • the method may further comprise: planarization of the material layer, until at least part of the structures is almost uncovered; removing further material by a removal method having substantially uniform removal rate over the entire surface until at least a part of the structures is uncovered.
  • the method may further comprise: between the steps d) and e) applying a further master electrode for forming electrochemical cells with the structures previously formed; and applying a voltage for forming a further layer of plated structures on top of the previously formed layer of structures.
  • the step of planarization may be performed by at least one polishing and/or etching step.
  • the step of polishing may comprise removing a portion of said material layer by an abrasive action.
  • the at least one polishing step may be performed by a method selected from the group comprising: mechanical-polishing, chemical-polishing, chemical-mechanical-polishing (CMP), contact planarization (CP), planarization with a doctor blade, and combinations thereof.
  • the polishing step may be performed by CMP or CP.
  • the at least one etching step may be performed by a method selected from the group comprising: dry-etching methods, ion-sputtering, reactive-ion-etching (RIE), plasma-assisted-etching, laser-ablation, ion-milling, and combinations thereof.
  • a method of forming a multilayer structure by electroplating on a substrate comprising: a) arranging an electrically conducting seed layer on at least a part of the substrate or a substrate layer; b) applying a master electrode on said seed layer, said master electrode having an electrically conducting electrode layer, an anode material and an insulating pattern layer for forming at least one electrochemical cell comprising an electrolyte in the area enclosed by said anode material, said insulating pattern layer and said seed layer; wherein said anode material is being in electrical contact with said conducting electrode layer; c) applying a voltage between said conducting electrode layer and said seed layer so that said seed layer forms a cathode for transferring at least some of said anode material in said at least one cell to said seed layer for forming plated structures corresponding to the cavities of the insulating pattern layer on the master electrode; d) separating said master electrode from said substrate; e) removing said seed layer in non-plated areas; f) arranging
  • a method of forming a multilayer structure by electrochemical etching of a substrate comprising: a) arranging an electrically conducting seed layer on at least a part of the substrate or a substrate layer; b) applying a master electrode on said seed layer, said master electrode having an electrically conducting electrode layer and an insulating pattern layer for forming at least one electrochemical cell comprising an electrolyte in the area enclosed by said conducting electrode layer, said insulating pattern layer and said seed layer; c) applying a voltage between said conducting electrode layer and said seed layer so that said seed layer forms an anode for etching the seed layer and that said conducting electrode layer forms a cathode for depositing etched material in said at least one cell for forming etched structures corresponding to the insulating pattern layer on the master electrode; d) separating said master electrode from said substrate; e) removing possible remaining seed layer in between the etched structures; f) arranging a material in the areas between the etched structures for forming a
  • the step of providing recesses in said material layer may be performed by a lithographic method selected from the group comprising: photolithography, laser lithography, E-beam lithography, nanoimprinting and combinations thereof.
  • the lithographic method may further comprise patterning an etch-mask and etching said material layer with dry-etching methods, such as ion-sputtering, reactive-ion-etching, plasma-assisted-etching, laser-ablation, ion-milling or combinations thereof.
  • the etch-mask may comprise a material selected from the group comprising: a resist, such as a photoresist; a hard-mask, such as SiN, SiO 2 , SiC, tetraethyl orthosilicate (TEOS), SiON, SiOC, SiCN:H, (non-porous) fluorine doped silicon glass (FSG), (non-porous) organic doped silicon glass (OSG), a low-k dielectric barrier/etch stop film such as BLOkTM, Pt, Ti, TiW, TiN, Al, Cr, Au, Ni, Cu, Ag, metals and by combinations thereof.
  • a resist such as a photoresist
  • a hard-mask such as SiN, SiO 2 , SiC, tetraethyl orthosilicate (TEOS), SiON, SiOC, SiCN:H, (non-porous) fluorine doped silicon glass (FSG), (non-porous) organic doped
  • the etch-mask may be dry-etched using a resist, such as a photoresist, as a mask; whereas said resist may be patterned with said lithographic method.
  • the etch-mask may be formed by said structure layer in said step c).
  • the step of arranging material in the areas between the plated or etched structures may be performed for forming a material layer having at least the thickness of two structure layers.
  • the material layer may be planarized before providing recesses.
  • the method may further comprise applying a barrier/capping layer before step a).
  • the method may further comprise applying a barrier/capping coating before step f).
  • the seed layer may be made of a material selected from the group comprising: Ru, Os, Hf, Re, Cr, Au, Ag, Cu, Sn, Ti, Ni, Al, alloys of these material, Si, conducting polymers such as polyaniline; solder materials, such as SnPb, SnAg, SnAgCu, SnCu; alloys, such as monel and permalloy; and combinations thereof.
  • the seed layer may be applied by a method selected from the group comprising: chemical-vapor-deposition (CVD), metallorganic-chemical-vapor-deposition (MOCVD), physical-vapor-deposition (PVD), atomic layer deposition (ALD), sputtering, electroless plating, electroplating, electro-grafting, and immersion deposition.
  • CVD chemical-vapor-deposition
  • MOCVD metalorganic-chemical-vapor-deposition
  • PVD physical-vapor-deposition
  • ALD atomic layer deposition
  • sputtering electroless plating, electroplating, electro-grafting, and immersion deposition.
  • the material layer may be a layer of a dielectric material and is applied by a method selected from the group comprising: spin-coating, spray-coating, powder-coating, dip-coating, roller-coating, sputtering, PVD, CVD, Plasma-Enhanced-Chemical-Vapor-Deposition (PECVD), electrodeposition, and combinations thereof.
  • a method selected from the group comprising: spin-coating, spray-coating, powder-coating, dip-coating, roller-coating, sputtering, PVD, CVD, Plasma-Enhanced-Chemical-Vapor-Deposition (PECVD), electrodeposition, and combinations thereof.
  • the dielectric material may comprise at least one layer of a material selected from the group comprising: low-k dielectric materials, ultra low-k dielectrics, dielectric materials with k-value less than 4, dielectric materials with k-value less than 2.5; organic compounds, insulating in-organic compounds, oxides, nitrides, polymer materials, polyimide, siloxane modified polyimide, BCB, SU-8, polytetrafluoroethylene (PTFE), silicones, elastomeric polymers, E-beam resists, ZEP (Sumitomo), photoresists, thinfilm resists, thickfilm resists, polycyclic olefins, polynorborene, polyethene, polycarbonate, PMMA, BARC materials, Lift-Off-Layer (LOL) materials, PDMS, polyurethane, epoxy polymers, fluoro elastomers, acrylate polymers, (natural) rubber, silicones, lacquers, nitrile rubber, EPDM
  • a method of forming a multilayer structure by electrochemical plating on a substrate wherein said substrate or said substrate layer comprises a via
  • the method comprising; a) arranging an electrically conducting seed layer on at least a part of the substrate or a substrate layer and said via; b) applying a master electrode, in which said insulating pattern layer is provided with cavities at least opposite to said vias, and wherein said cavities have a width which is slightly smaller, equal or slightly larger than the width of said via; and a predeposited anode material is arranged in said cavities; c) applying a voltage between said conducting electrode layer and said seed layer for transferring at least some parts of said anode material for forming plated structures in said vias.
  • the seed layer is made of a material selected from the group comprising: Ru, Os, Hf, Re, Cr, Au, Ag, Cu, Sn, Ti, Ni, Al, alloys of these material, Si, conducting polymers such as polyaniline; solder materials, such as SnPb, SnAg, SnAgCu, SnCu; alloys, such as monel and permalloy; and combinations thereof.
  • the seed layer may be applied by a method selected from the group comprising: chemical-vapor-deposition (CVD), metallorganic-chemical-vapor-deposition (MOCVD), physical-vapor-deposition (PVD), atomic layer deposition (ALD), sputtering, electroless plating, electroplating, electro-grafting, and immersion deposition.
  • CVD chemical-vapor-deposition
  • MOCVD metalorganic-chemical-vapor-deposition
  • PVD physical-vapor-deposition
  • ALD atomic layer deposition
  • sputtering electroless plating, electroplating, electro-grafting, and immersion deposition.
  • a method of forming a structure by electrochemical plating on a substrate provided with a conducting material structure comprising: a) arranging an electrically conducting seed layer on at least a part of the substrate; b) applying a master electrode on said seed layer, said master electrode having an electrically conducting electrode layer, an anode material and an insulating pattern layer for forming at least one electrochemical cell comprising an electrolyte in the area enclosed by said anode material, said insulating pattern layer and said seed layer, said cavity enclosing at least a part of said conducting material structure; wherein said anode material is being in electrical contact with said conducting electrode layer; c) applying a voltage between said conducting electrode layer and said seed layer so that said seed layer forms a cathode for transferring at least some of said anode material in said at least one cell to said seed layer for forming plated structures onto said seed layer and said conducting material structures corresponding to the cavities of the insulating pattern layer on the master electrode; d
  • the method may further comprise: b1) applying a further master electrode on said seed layer, said master electrode having an electrically conducting electrode layer, an anode material and an insulating pattern layer for forming at least one electrochemical cell comprising an electrolyte in the area enclosed by said anode material, said insulating pattern layer and said seed layer, said cavity enclosing at least a part of said conducting material structure and plated structures; wherein said anode material is being in electrical contact with said conducting electrode layer; c1) applying a voltage between said conducting electrode layer and said seed layer so that said seed layer forms a cathode for transferring at least some of said anode material in said at least one cell to said seed layer for forming plated structures onto said seed layer and said conducting material structures and plated structures corresponding to the cavities of the insulating pattern layer on the master electrode; d1) separating said master electrode from said substrate.
  • the method may further comprise: e) removing said seed layer in non-plated areas.
  • the planarization step may comprise performing a polishing step until said material surface is substantially planar and a subsequent etching step of said material surface until at least part of said structures is uncovered.
  • a planarizing material may be applied into said material layer prior to performing said planarization step of said material layer.
  • the planarizing material may be applied with a method selected from the group comprising: spin-coating, spray-coating, powder-coating, dip-coating, roller-coating, sputtering, PVD, CVD, PECVD, electrodeposition, and combinations thereof.
  • an end-point detection method may be used so as to determine when said planarization step is completed.
  • the end-point detection method may be selected from the group comprising: detecting when said structure material is being abraded or etched; determining the height of said material layer; and analyzing the color of said material layer.
  • the detection may be based on interferometry of spectral analysis of said abraded material or said height is being determined by laser measurements or said color of said material is analyzed by using a sensor and a camera.
  • the step of contact planarization may comprise: applying a plate above said material layer and applying a pressure on said plate for equalizing the material in said material layer, while in a flowable condition.
  • the flowable condition may be obtained by heating said material layer, whereupon the material is cooled after planarization.
  • the step of applying the plate is performed before curing said material, whereupon the material is cured after planarization, such as by applying infrared or ultraviolet radiation.
  • the seed layer is applied by a method selected from the group comprising: chemical-vapor-deposition (CVD), metallorganic-chemical-vapor-deposition (MOCVD), physical-vapor-deposition (PVD), atomic layer deposition (ALD), sputtering, electroless plating, electroplating, electro-grafting, immersion deposition, and combinations thereof.
  • CVD chemical-vapor-deposition
  • MOCVD metalorganic-chemical-vapor-deposition
  • PVD physical-vapor-deposition
  • ALD atomic layer deposition
  • sputtering electroless plating, electroplating, electro-grafting, immersion deposition, and combinations thereof.
  • the method further comprises applying a barrier/capping layer before step a) and/or before step f).
  • the barrier/capping material may comprise at least one layer of material that prevents corrosion, diffusion or electromigration of layers, which are interfacing with said barrier/capping material.
  • the barrier/capping material may be selected from the group comprising: Ti, TiN, TiW, Cr, Ni, NiB, NiP, NiCo NiBW, NiM-P, Pd, Pt, Au, Ag, W, Ru, Ta, TaN, Re, Os, Hf, Rh, Wo, Co, CoReP, CoP, CoWP, CoWB, CoWBP, alloys thereof and combinations thereof.
  • the barrier/capping material may be applied by a method selected from the group comprising: electrodeposition, MOCVD, CVD, PVD, ALD, sputtering, electroless deposition, immersion deposition, electrografting and combinations thereof.
  • the barrier/capping material may be applied with a mask-less selective deposition method, such as electroless deposition, wherein deposition is obtained only in surfaces active to said deposition process, such as on said structure layer and not on said arranged material layer.
  • the said barrier/capping material may be used as a seed layer in said step a).
  • the seed layer may be made of a material selected from the group comprising: Ru, Os, Hf, Re, Cr, Au, Ag, Cu, Sn, Ti, TiN, TiW, Ni, NiB, NiP, NiCo NiBW, NiM-P, Al, Pd, Pt, W, Ta, TaN, Rh, Wo, Co, CoReP, CoP, CoWP, CoWB, CoWBP alloys of these material, Si, conducting polymers such as polyaniline; solder materials, such as SnPb, SnAg, SnAgCu, SnCu; alloys, such as monel and permalloy; and alloys thereof and combinations thereof.
  • the seed layer may be cleaned and activated, wherein said cleaning and activation comprise using organic solvents, such as acetone or alcohols; and/or inorganic solvents, such as nitric acid, sulfuric acid, phosphoric acid, hydrochloric acid, acetic acid, hydrofluoric acid; strong oxidizing agents, such as peroxides, such as hydrogen-peroxide; persulfates, such as sodium-persulfate or ammonium-persulfate; ferric-chloride; and/or oxygen plasma; argon plasma; hydrogen plasma; and/or by mechanically removing impurities.
  • organic solvents such as acetone or alcohols
  • inorganic solvents such as nitric acid, sulfuric acid, phosphoric acid, hydrochloric acid, acetic acid, hydrofluoric acid
  • strong oxidizing agents such as peroxides, such as hydrogen-peroxide
  • persulfates such as sodium-persulfate or ammonium-persulfate
  • the method may further comprise applying an adhesion layer before applying said seed layer and/or before applying said barrier/capping material; wherein said adhesion layer increase the adhesion of said seed layer or barrier/capping layer to said arranged material layer or structures.
  • the adhesion layer may comprise at least one material selected from the group comprising: Cr, Ti, TiW, AP-3000 (Dow Chemicals), AP-100 (Silicon Resources), AP-200 (Silicon Resources), AP-300 (Silicon Resources) and combinations thereof.
  • the forming of at least one electrochemical cell comprises a method for aligning said insulating pattern layer to a patterned layer on said substrate.
  • the aligning method may comprise using alignment marks on the front side and/or backside of said master electrode, which marks are aligned to corresponding alignment marks on said substrate.
  • the aligning method may be performed prior to forming at least one electrochemical cell.
  • the formed electrochemical cell may comprise a solution of cations, such as copper or nickel ions, and anions, such as sulfate ions, for electrochemical etching and/or plating.
  • the electrolyte may comprise suppressors, levelers and/or accelerators, for instance PEG (poly-ethylene glycol) together with chloride ions and/or with SPS (bis-(3-sulfopropyl)-disulfide), MPSA and/or sodium-lauryl-sulphate.
  • PEG poly-ethylene glycol
  • SPS bis-(3-sulfopropyl)-disulfide
  • MPSA bis-(3-sulfopropyl)-disulfide
  • sodium-lauryl-sulphate for instance PEG (poly-ethylene glycol) together with chloride ions and/or with SPS (bis-(3-sulfopropyl)-disulfide), MPSA and/or sodium-lauryl-sulphate.
  • the structure layer may be a material selected from the group comprising: Au, Ag, Ni, Cu, Sn, Pb, SnAg, SnAgCu, AgCu and combinations thereof.
  • the structure layer may comprise Cu or Ni.
  • the anode material may be arranged onto said conducting electrode layer in the cavities of said insulating pattern layer using a method selected from the group comprising: electroplating, electroless plating, immersion plating, CVD, MOCVD, powder-coating, chemical grafting, electrografting and combinations thereof.
  • the method for arranging said anode material may comprise electroplating or electroless plating.
  • the forming of structures is stopped, by disconnecting said voltage, prior to dissolving all or substantially of the anode material. At least 5% of the anode material may be remaining when said forming of structures is stopped.
  • the depth of said etched structures or the thickness of said plated structures may be controlled by monitoring the time and current passing through said at least one electrochemical cell.
  • the separation step d) may be performed by holding said substrate in a fixed position and moving said master electrode in a direction perpendicular to the substrate surface; or by holding said master electrode in a fixed position and moving said substrate in a direction perpendicular to the master electrode surface; or by performing the separation in a less parallel manner so as to ease the separation; or by a combination thereof.
  • the step e) removing said seed layer may be performed by wet-etching, dry-etching, electrochemical etching or by combinations thereof.
  • the method further comprises applying a protective coating which is covering all or substantially all of said seed layer, barrier/capping layer and/or structure layer; treating said protective coating with an anisotropic etch, thereby uncovering the top of said seed layer, barrier/capping layer and/or structure layer between the structures while leaving a protective layer on the side walls of said structures; removing said seed layer and/or barrier layer between said structures.
  • the material layer may be at least one layer of a dielectric material and may be applied by a method selected from the group comprising: spin-coating, spray-coating, powder-coating, dip-coating, roller-coating, sputtering, PVD, CVD, Plasma-Enhanced-Chemical-Vapor-Deposition (PECVD), electrodeposition, and combinations thereof.
  • the material layer may be at least one layer of a metal and may be applied by a method selected from the group comprising: electrodeposition, MOCVD, CVD, PVD, ALD, sputtering, electroless deposition, immersion deposition, electrografting and combinations thereof.
  • the dielectric material may comprise at least one layer of a material selected from the group comprising: low-k dielectric materials, ultra low-k dielectrics, dielectric materials with k-value less than 4, dielectric materials with k-value less than 2.5; organic compounds, insulating in-organic compounds, oxides, nitrides, polymer materials, polyimide, siloxane modified polyimide, BCB, SU-8, polytetrafluoroethylene (PTFE), silicones, elastomeric polymers, E-beam resists, ZEP (Sumitomo), photoresists, thinfilm resists, thickfilm resists, polycyclic olefins, polynorborene, polyethene, polycarbonate, PMMA, BARC materials, Lift-Off-Layer (LOL) materials, PDMS, polyurethane, epoxy polymers, fluoro elastomers, acrylate polymers, (natural) rubber, silicones, lacquers, nitrile rubber, EPDM
  • the method further comprises: arranging an etch-stop layer on top of the structures before the step f) of arranging the material.
  • the etch-stop layer may comprise at least one layer of a material selected from the group comprising: SiC, SiN, films, low-k dielectric barrier/etch stop films, such as BLOkTM; Ti, TiN, TiW, Cr, Ni, NiB, NiP, NiCo NiBW, NiM-P, Pd, Pt, Au, Ag, W, Ru, Ta, TaN, Re, Os, Hf, Rh, Wo, Co, CoReP, CoP, CoWP, CoWB, CoWBP, alloys thereof and combinations thereof.
  • the material layer may be a porous low-k dielectric material and a pore sealing operation may be performed prior to applying further layers of material onto it.
  • the material layer may be a sacrificial polymer, wherein said sacrificial polymer is being decomposed into gaseous phase when treated with heat or radiation.
  • the sacrificial polymer may be a copolymer of butylnorbornene and triethoxysilyl norbornene, such as Unity Sacrificial PolymerTM (Promerus).
  • the method may further comprise: forming a structure layer before step h); wherein forming a structure layer may comprise lithography methods; deposition methods such as electrodeposition, electroless deposition; wet-etching or dry-etching methods.
  • FIGS. 1( a ) to 1 ( h ) are schematic cross-sectional views disclosing several method steps of a first embodiment comprising etching.
  • FIGS. 2( a ) to 2 ( p ) are schematic cross-sectional views disclosing several method steps of a second embodiment comprising plating.
  • FIGS. 3( a ) to 3 ( l ) are schematic cross-sectional views disclosing several method steps of a third embodiment comprising etching and in which a dielectric material layer is applied with a thickness of two layers.
  • FIGS. 4( a ) to 4 ( m ) are schematic cross-sectional views disclosing several method steps of a fourth embodiment comprising plating and in which a dielectric material layer is applied with a thickness of two layers.
  • FIGS. 5( a ) to 5 ( l ) are schematic cross-sectional views disclosing several method steps of a fifth embodiment comprising plating and in which a dielectric material layer is applied with a thickness of two layers followed by plating also with a thickness of two layers.
  • FIGS. 6( a ) to 6 ( n ) are schematic cross-sectional views disclosing several method steps of a sixth embodiment comprising plating and in which a dielectric material layer is planarized in two steps.
  • FIGS. 7( a ) to 7 ( b ) are schematic cross-sectional views disclosing method steps of a seventh embodiment comprising plating and in which a via is filled with plating material.
  • FIGS. 8( a ) to ( b ) are schematic cross-sectional views disclosing method steps of an eight embodiment comprising plating and in which a via is filled with plating material.
  • FIGS. 9( a ) to ( c ) are schematic cross-sectional views disclosing method steps of a ninth embodiment comprising plating and in which a via is filled with plating material.
  • FIGS. 10( a ) to ( c ) are schematic cross-sectional views disclosing method steps of a tenth embodiment comprising plating and in which a via is filled with plating material.
  • FIGS. 11( a ) to ( c ) are schematic cross-sectional views disclosing method steps of an eleventh embodiment comprising plating and in which a via is filled with plating material.
  • FIGS. 12( a ) to ( b ) are schematic cross-sectional views disclosing method steps of a twelfth embodiment comprising plating and in which a via is filled with plating material.
  • FIGS. 13( a ) to ( c ) are schematic cross-sectional views disclosing method steps of a thirteenth embodiment comprising plating and in which a via is filled with plating material.
  • FIGS. 14( a ) to ( c ) are schematic cross-sectional views disclosing method steps of a fourteenth embodiment comprising plating and in which a via is filled with plating material.
  • FIGS. 15( a ) to ( b ) are schematic cross-sectional views disclosing method steps of a fifteenth embodiment comprising plating and in which a conducting or non-conducting material is enclosed by a plating material.
  • FIGS. 16( a ) to ( d ) are schematic cross-sectional views disclosing method steps of a sixteenth embodiment comprising plating and in which a conducting or non-conducting material is enclosed by a plating material.
  • FIGS. 17( a ) to ( h ) are schematic cross-sectional views disclosing different embodiments of a master electrode.
  • FIG. 18 is a schematic flow scheme of the method steps of a conventional lithographic and electroplating process.
  • FIG. 19 is a schematic flow scheme of the method steps of the inventive method.
  • FIG. 20 ( a ) to ( b ) are schematic cross-sectional views disclosing an electrode having no predeposited material and en electrode having predeposited material, respectively.
  • the method steps comprises one or several of the following six steps, namely:
  • a substrate is prepared by applying a seed layer on top of said supplied substrate.
  • a barrier/capping and/or adhesion layer is deposited on the substrate prior to applying the seed layer or arranged below the seed layer before being applied on the substrate.
  • Said seed layer comprises at least one, normally relatively thin layer of conducing material onto which material, such as predeposited anode material in the master electrode, can be plated with the ECPR plating process.
  • the seed layer comprises at least one, normally relatively thick layer of conducing material in which structures can be etched with the ECPR etching process.
  • the seed layer forms one of the electrodes of the electrochemical cell, the seed layer must be applied at least where a cell is to be formed. Moreover, the seed layer must be able to be electrically contacted from the outside of the substrate or through conducting parts of the substrate, which is connected with the seed layer, or via the master electrode. Thus, the seed layer can be arranged covering only the required surfaces. However, the seed layer can be applied over the entire surface of the substrate to be acted upon.
  • the seed layer can be comprised of one or several layers of any of the materials Ru, Os, Hf, Re, Cr, Au, Ag, Cu, Sn, Ti, Ni, Al, alloys of these material, Si, other metals such as used for barrier/capping and/or adhesion layers mentioned below, conducting polymers such as polyaniline, solder materials such as SnPb, SnAg, SnAgCu, SnCu, alloys such as monel or permalloy and/or combinations thereof.
  • the seed layer can be applied by chemical-vapor-deposition (CVD), metallorganic-chemical-vapor-deposition (MOCVD), physical-vapor-deposition (PVD), atomic layer deposition (ALD), sputtering, electroless plating, electroplating, electro-grafting, immersion deposition and/or by other processes including applying layers of conducting material.
  • CVD chemical-vapor-deposition
  • MOCVD metalorganic-chemical-vapor-deposition
  • PVD physical-vapor-deposition
  • ALD atomic layer deposition
  • sputtering electroless plating
  • electroplating electro-grafting
  • immersion deposition immersion deposition and/or by other processes including applying layers of conducting material.
  • electroplating may be used to form a layer having a relatively uniform upper surface independent on any recesses in the substrate surface.
  • a uniform upper surface can be achieved by using additives such as suppressors, levelers, accelerators and/or wetting agents, for instance PEG (poly-ethylene glycol) and chloride ions, SPS (bis-(3-sulfopropyl)-disulfide) and/or sodium-lauryl-sulphate used for copper plating, that increase the electrodeposition speed in the recess and/or by using pulse plating, for instance pulse-reverse-plating which also evens out height differences in the deposited layer.
  • a uniform upper surface can be achieved independent on any recess in the substrate, specifically if the thickness of the seed layer is significantly larger than the depth of the recesses.
  • the seed layer of the substrate can be cleaned and activated before usage in the ECPR process.
  • the cleaning method can include the use of organic solvents e.g. acetone or alcohols; and/or inorganic solvents e.g. nitric acid, sulfuric acid, phosphoric acid, hydrochloric acid, acetic acid, hydrofluoric acid, strong oxidizing agents, e.g. peroxides, persulfates, ferric-chloride, and/or de-ionized water.
  • Cleaning can also be performed by applying oxygen plasma, argon plasma and/or hydrogen plasma or by mechanically removing impurities. Activation of the seed layer surface can be performed with solutions removing oxides, e.g.
  • sulfuric acid nitric acid, hydrochloric acid, hydrofluoric acid, phosphoric acid and etchants, e.g. sodium-persulfate, ammonium-persulfate, hydrogen-peroxide, ferric-chloride and/or other solutions comprising oxidizing agents.
  • etchants e.g. sodium-persulfate, ammonium-persulfate, hydrogen-peroxide, ferric-chloride and/or other solutions comprising oxidizing agents.
  • Said barrier/capping layer can be comprised of at least one layer of at least one material or a combination of materials that: prevents said conducting material from corrosion; prevents said conducting material from diffusing into interfacing materials; prevents electro-migration and/or prevents other phenomena having negative effect on the electrical properties of the manufactured substrate.
  • the barrier/capping layer can be comprised of Ti, TiN, TiW, Cr, Ni, NiB, NiP, NiCo NiBW, NiM-P, Pd, Pt, Au, Ag, W, Ru, Ta, TaN, Re, Os, Hf, Rh, Wo, Co, CoReP, CoP, CoWP, CoWB, CoWBP, alloys thereof and/or combinations thereof.
  • Said adhesion layer can be comprised of material or a combination of materials that increase the adhesion of the conducting seed layer material or barrier/capping material to the dielectric layer.
  • the adhesion layer can be comprised of Cr, Ti, TiW, AP-3000 (Dow Chemicals), AP-100 (Silicon Resources), AP-200 (Silicon Resources) and/or AP-300 (Silicon Resources).
  • the adhesion layer can in some embodiments also function as a catalytic layer facilitating and/or improving the deposition of the seed layer.
  • the barrier/capping and/or adhesion layers can be applied by using deposition methods such as electrodeposition, MOCVD, CVD, PVD, ALD, sputtering, electroless deposition, immersion deposition, electrografting and/or other deposition methods suitable for the barrier/capping and/or adhesion materials.
  • deposition methods such as electrodeposition, MOCVD, CVD, PVD, ALD, sputtering, electroless deposition, immersion deposition, electrografting and/or other deposition methods suitable for the barrier/capping and/or adhesion materials.
  • the barrier/capping layer and/or adhesion layer can, in some embodiments, be applied with a mask-less selective deposition process such as electroless deposition and/or chemical grafting, whereby deposition is obtained only on surfaces active in relation to said deposition processes, for instance on a structure layer and not on a dielectric layer.
  • a mask-less selective deposition process such as electroless deposition and/or chemical grafting, whereby deposition is obtained only on surfaces active in relation to said deposition processes, for instance on a structure layer and not on a dielectric layer.
  • the seed layer also functions as a barrier/capping layer, for instance when applying a Ru layer.
  • a barrier/capping layer can be used as a seed layer.
  • said barrier/capping layer needs to be activated in order to function as a seed layer.
  • Such surface activation can be Sn, or Pd activation, for instance by treating the surface with a PdCl 2 and/or SnCl 2 solution.
  • a solution for Pd activation can be PdCl 2 in diluted HCl.
  • HF is added to the activation solution, for instance when activating a TiN barrier/capping layer.
  • a master electrode comprising an electrically conducting electrode layer, of at least one inert material, such as platinum, and an insulating pattern layer, is put in close physical contact with the conducting top layer, such as the seed layer, on the substrate in the presence of an electrolyte, forming electrochemical cells, filled with electrolyte, defined by the cavities of the insulating structures on the master.
  • Putting the master in close contact with the top layer on the substrate includes aligning the master electrode insulating pattern to the patterned layer on the substrate.
  • This step can include the use of alignment marks on the front side or backside of the master electrode that can be aligned to the corresponding alignment marks on the substrate. The alignment procedure can be performed before or after applying the electrolyte.
  • Predeposited anode material may previously be arranged onto said conducting electrode layer in the cavities of the insulating pattern layer prior to putting the master in contact with a substrate.
  • Predeposited material in the master electrode cavities can be cleaned and activated in advance, in the same manner as described for the substrate seed layer in the first step “(a)”, before putting the master into contact with the substrate.
  • Said electrolyte comprises a solution of cations and anions appropriate for electrochemical etching and/or plating, such as conventional electroplating baths.
  • a copper sulphate bath can be used, such as an acidic copper sulphate bath.
  • additives can be used, such as suppressors, levellers and/or accelerators, for instance PEG and chloride ions and/or SPS.
  • a Watt's bath can be used.
  • Appropriate electrolyte systems for different materials of ECPR etched or plated structures are described in: Lawrence J. Durney, et al, Electroplating Engineering Handbook, 4th ed., (1984).
  • a third step (c) structures of conducting material are formed using ECPR etching or plating by applying a voltage, using an external power source, to the master electrode and to the seed layer on the substrate for creating an electrochemical process simultaneously inside each of the electrochemical cells defined by the cavities of the master electrode and the top layer on the substrate.
  • the voltage is applied in such a manner that the seed layer on the substrate is anode and the conducting electrode layer in the master electrode is cathode, the seed layer material is dissolved and at the same time material is deposited inside the cavities of the master electrode.
  • the grooves created by dissolving the seed layer separate the remaining structures of the seed layer.
  • the structures formed from the remaining seed layer is a negative image of the cavities of the insulating pattern layer of the master electrode; and these structures are referred to as “ECPR etched structures” below in this description.
  • the predeposited anode material inside the cavities of the master electrode is dissolved and at the same time material is deposited on the conducting layer on the substrate in the cavities that are filled with electrolyte.
  • the deposited material on the conducting layer on the substrate forms structures that are a positive image of the cavities of the insulating pattern layer of the master electrode; and these structures are referred to as “ECPR plated structures” below in this description.
  • Said ECPR etched or ECPR plated structures can be comprised of conducting materials, such as metals or alloys, for instance Au, Ag, Ni, Cu, Sn, Pb and/or SnAg, SnAgCu, AgCu and/or combinations thereof, for example Cu.
  • conducting materials such as metals or alloys, for instance Au, Ag, Ni, Cu, Sn, Pb and/or SnAg, SnAgCu, AgCu and/or combinations thereof, for example Cu.
  • said anode material is predeposited in the cavities of the master electrode by using ECPR etching of a material, which is anode, and depositing said material onto the conducting electrode, which is cathode, in the cavities of the insulating pattern layer of the master electrode.
  • said anode material is predeposited by regular electroplating, electroless plating, immersion plating, CVD, MOCVD, (charged) powder-coating, chemical grafting and/or electrografting said material selectively onto the conducting electrode layer in the cavities of the insulating pattern layer of the master electrode.
  • the voltage can be applied in a manner that improves the uniformity and/or properties of the etched and/or plated structures.
  • the applied voltage can be a DC voltage, a pulsed voltage, a square pulsed voltage, a pulse reverse voltage and/or a combination thereof.
  • the uniformity of the etched and/or plated structures can be increased by choosing an optimized combination of applied voltage waveform, amplitude and frequency.
  • the etch depth or plating height can be controlled by monitoring the time and the current passing through the master electrode. If the total electrode area is known, the current density can be predicted from the current passing through the electrode area. The current density corresponds to an etching or plating rate and hence the etching depth or plating height can be predicted from the etching or plating rate and time.
  • the etching or plating process is stopped by disconnecting the applied voltage before reaching the underlying surface of the dissolving anode material.
  • the plating process this means that the process is stopped when a layer of predeposited anode material still remains, such as 5% to 50%, covering the conducting electrode layer. Otherwise, uneven current distribution may occur in the respective electrochemical cells.
  • the desired height of the plated structures is significantly less than the thickness of the predeposited anode material. This implies that several layers of structures can be plated onto one or several substrates before having to predeposit new anode material. In some examples the height of the predeposited material can be at least twice as thick as the height of the plated structures.
  • multiple layers of ECPR plated structures are applied directly onto each other.
  • a fourth step (d) after the ECPR etched or plated structures are formed the master is separated from the substrate in a manner that minimizes damages on the master or on the ECPR etched or plated structures on the substrate.
  • the method can be performed by holding the substrate in a fixed position and moving the master electrode in a direction perpendicular to the substrate surface or by holding the master electrode in a fixed position and moving the substrate in a direction perpendicular to the master electrode surface.
  • the separation can be performed in a less parallel manner in order to ease the separation.
  • a fifth step (e) after ECPR plating the seed layer on the substrate is removed so that the deposited structures are not connected to each other by the seed layer.
  • the seed layer removing step can include applying wet etching chemicals suitable for globally etching the materials that the seed layer is comprised of.
  • An anisotropic etching method can be used in order to avoid or reduce the etching of the sidewalls and/or undercutting of the ECPR plated structures.
  • the seed layer can be removed with dry-etching, for instance ion-sputtering, reactive-ion-etching (RIE), plasma-assisted-etching, laser-ablation, ion-milling. Dry-etching may remove the material by evaporation and removal in gaseous form.
  • the seed layer can be removed by a combination of dry-etching and wet-etching methods. For instance, a dry-etching method can sometimes leave residues or bi-products from etching the seed layer. These residues or bi-products can in some embodiments be removed by wet-etching methods.
  • said seed layer removing step can include electrochemical etching methods by applying a voltage making the seed layer anode and thereby dissolving (etching) at least some portions of said seed layer.
  • Said electrochemical etching methods can in some embodiments include ECPR etching of at least some portions of the seed layer.
  • a protective coating is applied uniformly all over said ECPR etched or plated structures; said protective coating is treated with an anisotropic etch, said etch having the property of etching with a higher rate in a vertical direction than in lateral direction such as said dry-etching methods, thereby uncovering the top of said structures and/or the seed layer between the structures while leaving a protective layer on the side walls of said structures.
  • the seed layer can be removed using said etching methods without etching the side walls and/or creating corner rounding of the ECPR etched or plated structures.
  • Said protective coating can comprise materials, and can be applied with methods, such as used for an etch-mask layer described below.
  • Said protective coating on the sidewalls of said structures can be removed after finishing the seed layer etching.
  • a barrier/capping layer and/or adhesion layer have been applied on the substrate prior to applying the seed layer, these layers can be removed in the areas between the ECPR etched or plated structures using the same methods as mentioned above for the seed layer.
  • the seed layer, barrier/capping layer and/or adhesion layer are comprised of materials that can be selectively etched in relation to the material of the ECPR plated structures.
  • said seed layer, barrier/capping layer and/or adhesion layer can be treated with methods converting said layers into insulating material.
  • Such methods can for instance include: electrochemical anodization, such as anodizing a Ti layer to TiO 2 ; thermal and/or plasma based treatment in an environment including gases or precursors, such as nitrogen and/or oxygen, that converts said layers into insulating layers; and/or chemical treatment for instance by strong oxidizing agents, such as peroxides and/or hydroxides.
  • electrochemical anodization such as anodizing a Ti layer to TiO 2
  • thermal and/or plasma based treatment in an environment including gases or precursors, such as nitrogen and/or oxygen, that converts said layers into insulating layers
  • chemical treatment for instance by strong oxidizing agents, such as peroxides and/or hydroxides.
  • said layers being converted into insulating layers do not necessarily have to be removed.
  • remaining material deposited inside the cavities of the master electrode can be removed using the same methods as for removing the seed layer on the substrate.
  • the remaining material can in some embodiments also be removed by regular plating and/or ECPR plating onto a cathode and/or dummy substrate, respectively. In some embodiments this is done prior to using the master electrode in another ECPR etching step or prior to predepositing new material inside the cavities of the master used for the ECPR plating step.
  • plating only a portion of the predeposited material may be used in a single procedure and another portion of the predeposited material may be used in the next procedure, for a number of procedures.
  • the material deposited on the cathode, i.e. the master electrode may not need to be removed between each procedure, but may be removed between each second, third, etc., procedure.
  • a dielectric layer is applied onto the top layer of the substrate.
  • a barrier/capping layer and/or adhesion layer are applied onto the top layer of the substrate prior to applying said dielectric layer in order to improve the adhesion properties and/or prevent contamination, migration (electromigration) and/or diffusion of material; said barrier/capping layer and/or adhesion layer may be comprised of materials mentioned above and can be applied with methods described above.
  • said barrier/capping and/or adhesion layer can comprise materials such as for an etch-stop layer described below.
  • Said dielectric layer can comprise one or several layers of materials with low dielectric constants.
  • the dielectric layer can be applied by spin-coating, spray-coating, powder-coating, dip-coating, roller-coating, sputtering, PVD, CVD, Plasma-Enhanced-Chemical-Vapor-Deposition (PECVD), electrodeposition, by other suitable deposition processes and/or by combinations thereof.
  • the dielectric layer can be applied so that it completely covers the ECPR etched or plated structures as well as fills up the cavities.
  • the layer is applied as uniformly as possible in order to avoid or minimize the use of a planarization process.
  • a process can be performed to uncover the top of at least some parts of said structures from the dielectric layer. In an embodiment this is done by planarizing the dielectric layer to the same level as the top of said structures. Said planarization can be done by polishing and/or etching methods.
  • the polishing methods can be mechanical and/or chemical.
  • chemical-mechanical-polishing (CMP) can be used.
  • CMP includes planarizing the dielectric material using a mechanical force from a rotating or translating polishing pad together with a chemical component from a polishing slurry that is applied on the polishing pad which is put in close contact with the dielectric material or directly onto the material.
  • the slurry chemistry is relevant for proper polishing.
  • It can consist of micro or nano sized silica or aluminum particles in a carrier solution.
  • a chemical reaction occurs at the dielectric surface, which makes the surface susceptible to mechanical abrasion by the particles suspended in the slurry.
  • the abraded particles are then swept away from the vicinity of the substrate surface and flushed from the system as fresh slurry is added and used slurry is removed from the system.
  • Another planarization method is to use a doctor blade.
  • a further planarization method is contact planarization (CP), which comprises applying a force or a pressure with a planar disc, which for instance is comprised of silicon, glass and/or quartz, onto a layer thereby reducing the unevenness of the layer surface.
  • CP contact planarization
  • a planarizing material layer is applied onto the dielectric layer prior to using said planarization methods.
  • the planarizing material layer results in a more planar surface, than of the underlying layer, when applied.
  • Said planarizing material layer can be applied with methods such as spin-coating, spray-coating, powder-coating, dip-coating, roller-coating, sputtering, PVD, CVD, PEVCD, electrodeposition and/or by combinations thereof.
  • the dielectric material and/or said planarization material layer is not cured prior to using said planarization methods which means that the material is in a more or less soft or flowable condition so that the material moves and planarize under the influence of the pressure.
  • said planar disc can be optically transparent, and UV-light and/or heat radiation can pass there through and be applied in order to cure said planarization material layer and/or dielectric layer.
  • the dielectric and/or planarizing material layer is brought into contact with said planar disc without applying a pressure.
  • the dielectric and/or planarizing material layer can be heated (for example above the glass temperature T g ) followed by applying a mechanical force by the planar disc onto the dielectric and/or planarizing material layer during sufficient time for planarization to occur.
  • the heating may occur by having the disc at an elevated temperature or heating the disc.
  • the dielectric and/or planarizing material layer can be cooled (for example below T g ) and the planar disc can be removed from the planarized surface.
  • planarization using said etching methods include dry-etching methods such as ion-sputtering, reactive-ion-etching (RIE), plasma-assisted-etching, laser-ablation, ion-milling and/or combinations thereof.
  • Said etching methods may give a uniform etching rate over the entire surface that is planarized.
  • Planarization can in some embodiments be preformed by combining different planarization methods. In some cases it can be suitable first to use CMP and/or CP to planarize the top surface and then use said etching methods to further planarize or further remove said planarizing material layer and/or dielectric layer until it uncovers the top of the ECPR etched or ECPR plated structures.
  • the etching may be global or only affect the dielectric material. For instance, the planarization speed can be significantly higher on the dielectric material than on the ECPR etched or plated structures. This minimizes the amount of abraded material from the ECPR etched or plated structures during said planarization step.
  • the structure material or metal may include an etch-stop layer or coating for preventing etching thereof. The etching can be continued until all structure portions are uncovered. The etching can be further continued in order to ensure that all structure portions are safely uncovered, such as less than about 20% extra, for example less than about 10%, for instance less than about 1%.
  • end-point detection can be used to determine when said etching or planarization method is completed.
  • the end-point detection method can comprise the use of a sensor that detects when the material of the ECPR etched or plated structures is being abraded and/or etched by said planarization methods.
  • the detection can be based on interferometry or spectral analysis of the etching plasma that detects molecules or atoms of the ECPR etched or ECPR plated structures, which are abraded/etched by said etching or planarization methods.
  • Other end detection methods may be used such as laser measurement of the height of the layer.
  • Yet further end-point detection methods can include a sensor for analysis of the color of the planarized material, such as by using a camera, for example an LCD-camera.
  • the tops of at least some parts of said structures are uncovered from the dielectric layer, which covers at least some parts of the structures, by patterning said dielectric layer with for example a lithographic process.
  • Said lithographic process can be photolithography, laser lithography, E-beam lithography, nanoimprinting or other lithographic processes suitable for the dielectric material.
  • etch-mask at least some parts of the top of said structures as delimited by an etch-mask, are uncovered by dry-etching the dielectric layer with methods such as ion-sputtering, reactive-ion-etching (RIE), plasma-assisted-etching, laser-ablation, ion-milling.
  • RIE reactive-ion-etching
  • the patterned material used as an etch-mask for the dry-etching process can be a photoresist and/or another polymer material that can be patterned by said lithographic processes.
  • the etch-mask material for dry-etching the dielectric layer can also comprise materials such as SiN, SiO 2 , SiC, tetraethyl orthosilicate (TEOS), SiON, SiOC, SiCN:H, (non-porous) fluorine doped silicon glass (FSG), (non-porous) organic doped silicon glass (OSG), a low-k dielectric barrier/etch stop film such as BLOkTM (Applied Materials), Pt, Ti, TiW, TiN, Al, Cr, Au, Ni, Cu, Ag, other metals, other hard materials and/or combinations thereof.
  • TEOS tetraethyl orthosilicate
  • FSG fluorine doped silicon glass
  • OSG organic doped silicon glass
  • BLOkTM Applied Materials
  • the etch-mask material can in turn be etched using a patterned photoresist and/or another resist, which is patterned with said lithographic processes, as a mask.
  • the etch-mask can comprise at least one layer of ECPR etched or plated structures.
  • said etch-mask is removed after the etching step.
  • removing the etch-mask is not required, for instance in order to improve mechanical properties of the multilayer structure.
  • said dielectric layer can be applied with a thickness corresponding to multiple structure layers and patterned in several layers prior to applying at least one structure layer.
  • said dielectric layer can be applied and patterned repeatedly, thereby creating a patterned dielectric layer with a thickness corresponding to multiple structure layers, prior to applying at least one structure layer.
  • the method for uncovering at least some parts of the ECPR etched or plated structures from the dielectric material can include a combination of said planarization methods and said patterning methods.
  • the dielectric materials can be comprised of materials having dielectric constants less than 4.0. Such materials are generally referred to as low-k materials.
  • the low-k materials can comprise carbon-doped dielectrics, such as OSG, FSG, organic polymers, and the like.
  • ultra-low-k dielectric materials can be used with a k-value ranging from less than 2.5.
  • the dielectric material can be comprised of organic compounds, such as polymers, as well as insulating in-organic compounds such as oxides and/or nitrides.
  • Used polymer materials can for instance be: polyimide, siloxane modified polyimide, BCB, SU-8, polytetrafluoroethylene (PTFE), silicones, elastomeric polymers, E-beam resists (such as ZEP (Sumitomo)), photoresists, thinfilm resists, thickfilm resists, polycyclic olefins, polynorborene, polyethene, polycarbonate, PMMA, BARC materials, Lift-Off-Layer (LOL) materials, PDMS, polyurethane, epoxy polymers, fluoro elastomers, acrylate polymers, (natural) rubber, silicones, lacquers, nitrile rubber, EPDM, neoprene, PFTE, parylene, fluoromethylene cyanate ester, inorganic-organic hybrid polymers, (fluorinated and/or hydrogenated) amorphous carbon, by other polymers and/or by combinations thereof.
  • PTFE polyt
  • Used in-organic compounds can for instance be organic doped silicon glass (OSG), fluorine doped silicon glass (FSG), PFTE/silicon compound, tetraethyl orthosilicate (TEOS), SiN, SiO 2 , SiON, SiOC, SiCN:H, SiOCH materials, SiCH materials, silicates, silica based materials, silsesquioxane (SSQ) based material, (nanoporous) methyl-silsesquioxane (MSQ), hydrogen-silsesquioxane (HSQ), TiO 2 , Al 2 O 3 , TiN and/or combinations thereof.
  • OSG organic doped silicon glass
  • FSG fluorine doped silicon glass
  • PFTE/silicon compound tetraethyl orthosilicate
  • SiN SiO 2
  • SiON SiOC
  • SiCN:H SiOCH materials
  • SiCH materials silicates
  • silica based materials silsesquioxane (
  • Said dielectric material can also comprise other available low-k dielectrics listed in the publication: K. Maex, M. R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma, Z. S. Yanovitskaya, J. Appl. Phys. 93, 8793 (2003).
  • an etch-stop layer is deposited onto the top layer on the substrate prior to applying the dielectric layer.
  • the etch-stop material can be comprised of a material that is much less effected by said dry-etching processes than the dielectric material, and which can be used for selectively etch cavities in the dielectric layer down to the underlying etch-stop layer on top of underlying layer of the substrate or etch down the dielectric layer to slightly below the top of the structure layer.
  • the etch-stop material can be comprised of SiC, SiN, Pt and/or TiW films.
  • a low-k dielectric barrier/etch stop film, such as BLOkTM may be used.
  • Material used for said barrier/capping, adhesion and/or etch-mask layer may also be used for the etch-stop layer.
  • This silicon carbide film is deposited using trimethylsilane ((CH 3 ) 3 SiH) and has a lower dielectric constant (k ⁇ 5) than that of conventional SiC films (k>7) generated by SiH 4 and CH 4 , and that of plasma silicon nitride (k>7).
  • said etch-stop layer can also function as a barrier/capping layer and/or adhesion layer, which adhesion layer also may improve the adhesion between the lower dielectric layer and the upper dielectric layer.
  • a pore sealing operation can be done prior to applying any material layer onto the dielectric.
  • said dielectric material can be a sacrificial polymer material wherein the sacrificial polymer is decomposed into a gaseous phase when for instance treating the material with heat or radiation.
  • said dielectric material can be removed, by decomposing said material and allowing the byproducts to diffuse away, after the multiple structure layers are formed and thereby creating voids or air gaps in the areas that were occupied by the dielectric layer.
  • Said sacrificial polymer used can be a copolymer of butylnorbornene and triethoxysilyl norbornene, such as Unity Sacrificial PolymerTM (Promerus). Further more, by using mechanically stable and insulating barrier/capping and/or etch-mask layers that are not removed from the multiple structure layers, said multiple structure layers are prevented from collapsing.
  • forming multiple layers of conducting and/or dielectric materials includes creating at least one layer with ECPR etching and/or plating and creating at least another layer with known masking and deposition techniques such as lithography followed by electrodeposition, electroless deposition, wet etching, dry etching or other methods for creating a patterned layer of a conducting material.
  • FIGS. 1( a ) to ( h ) illustrate the steps for producing a substrate comprising multiple layers of ECPR etched structures and multiple layers of planarized dielectric material.
  • FIG. 1( a ) illustrates a substrate 2 onto which a seed layer 1 has been applied with the method in said step “(a)”.
  • FIG. 1( b ) illustrates how the master electrode 4 is aligned to and put in contact with the seed layer 1 on the substrate 2 in the presence of an electrolyte 3 with the method in said step “(b)”.
  • FIG. 1( c ) illustrates how ECPR etching proceeds with the method in said step “(c)”.
  • ECPR etched structures 8 which is a replica (negative image) of the insulating pattern layer 5 of the master electrode 4 , are formed in the seed layer on the substrate 2 .
  • the etched material 7 is deposited on the conducting electrode layer 6 in the cavities of the master electrode.
  • the ECPR etching process is stopped when the etching has removed the entire thickness of the seed layer 1 .
  • the etching can in an embodiment be stopped before or prior to etching the entire thickness of the seed layer.
  • FIG. 1( d ) illustrates the substrate with ECPR etched structures 8 after separating the master electrode 4 from the substrate 2 with the method in said step “(d)”. Possible residues or particles or portions of the seed layer have been removed in the etched grooves. Moreover, the material 7 deposited inside the cavities of the master electrode has been removed, such as according to the method in said step “(e)”.
  • FIG. 1( e ) illustrates how a dielectric material 9 has been applied with the method in said step “(f)” and covers the ECPR etched structures 8 .
  • FIG. 1( f ) illustrates how the dielectric material 9 has been planarized with the method in step “(f)”, uncovering the top of the ECPR etched structures 8 .
  • FIG. 1( g ) illustrates how a second seed layer has been applied with the method in said step “(a)”, how ECPR etched structures 8 has been formed by etching grooves in the seed layer with the method in “(b)” to “(e)” and how a second layer of dielectric material 9 has been applied and planarized, with the method in said step “(f)”, uncovering the top of the ECPR etched structures.
  • FIG. 1( h ) illustrates how multiple layers of ECPR etched structures 8 and planarized dielectric material 9 have been formed on the substrate 2 by repeating said steps “(a)” to “(f)”.
  • polishing is used in the planarization steps of FIGS. 1( f ) and 1 ( h ), the polishing is performed on both the hard structural material 8 , such as of metal, as well as on the soft dielectric material 9 .
  • This can result in dishing and erosion as explained above.
  • the dishing and erosion problems are directly related to the amount of structure material that is planarized.
  • FIGS. 2( a ) to 2 ( p ) illustrate the steps for producing a substrate comprising multiple layers of ECPR plated structures and multiple layers of planarized dielectric material.
  • FIG. 2( a ) illustrates a substrate 2 onto which a seed layer 1 has been applied with the method described in said step “(a)”.
  • FIG. 2( b ) illustrates how the master electrode 4 is aligned to and put in contact with the seed layer 1 on the substrate 2 in the presence of an electrolyte 3 with the method in said step “(b)”.
  • FIG. 2( c ) illustrates ECPR plating with the method in said step “(c)”.
  • An anode material 10 which is previously predeposited onto the conducting electrode layer 6 in the cavities formed in the insulating pattern layer 5 of the master electrode 4 , is dissolved and transported in the electrolyte 3 at the same time as ECPR plated structures 11 are formed onto the seed layer 1 on the substrate 2 creating a pattern which is a replica (positive image) of the cavities of the master electrode.
  • FIG. 2( d ) illustrates the substrate 2 with ECPR plated structures 11 after separating the master electrode 4 from the substrate 2 with the method in said step “(d)” and after removing the seed layer in the cavities between the ECPR plated structures with the method described in said step “(e)”. All or substantially all of the anode material, which had been predeposited in the cavities of the master, has been transferred to the substrate forming the ECPR plated structures. Remaining residues of predeposited material in the master can be removed according to the methods described in said step “(e)”.
  • FIG. 2( e ) illustrates an alternative to FIG. 2( d ) showing the substrate with ECPR plated structures 11 after separating the master 4 from the substrate 2 with the method in said step “(d)” and after removing the seed layer in the cavities between the ECPR plated structures with the method described in said step “(e)”. Only a portion of the anode material 10 , which had been predeposited in the cavities of the master, has been transferred to the substrate forming the ECPR plated structures. The remaining predeposited anode material can be used for one or several subsequent ECPR plating steps or it can be removed from the cavities by using the methods described in said step “(e)”. In some embodiments, the remaining anode material does not need to be removed before predepositing new anode material for using in a subsequent ECPR plating step.
  • FIG. 2( f ) illustrates how a dielectric material 9 has been applied with the method in said step “(f)” and covering the ECPR plated structures 11 on a substrate 2 .
  • FIG. 2( g ) illustrates how the dielectric material 9 has been planarized with the method in said step “(f)”, uncovering the top of the ECPR plated structures 11 on the substrate 2 .
  • the polishing will be performed on structures having different hardness, such as the hard structure layer, such as of metal and the soft material layer of dielectric material. This can result in dishing and erosion of the soft material and crack formation on the structure layer.
  • the dishing and erosion problems are directly related to the amount of structure material that is planarized. By carefully controlling the thickness of the structure layer, by using a master electrode with predeposited anode material, dishing, erosion and overall planarity problems can be significantly reduced or even eliminated.
  • FIG. 2( h ) illustrates how a second seed layer 1 has been applied with the method in said step “(a)” and how a second layer of ECPR plated structures 11 is formed by plating a pattern, which is a replica of the cavities of the master electrode, onto the seed layer 1 with the methods in said steps “(b)” to “(e)”.
  • FIG. 2( i ) illustrates how the seed layer in the cavities between the ECPR plated structures is removed with the method described in said step “(e)”.
  • FIG. 2( j ) illustrates how a dielectric material 9 has been applied with the method in said step “(f)” and covering the ECPR plated structures 11 on substrate 2 .
  • FIG. 2( k ) illustrates how the dielectric material 9 has been planarized with the method in said step “(f)”, uncovering the top of the ECPR plated structures 11 on the substrate 2 .
  • FIG. 2( l ) illustrates how a third seed layer 1 has been applied with the method in said step “(a)” and how ECPR plated structures 11 has been formed by plating a pattern, which is a replica of the cavities of the master electrode, onto the seed layer 1 with the methods in said steps “(b)” to “(e)”.
  • FIG. 2( m ) illustrates how a fourth layer of ECPR plated structures 11 is formed onto at least some parts of the previously plated pattern without having removed the previously applied seed layer 1 .
  • the master electrode 4 is aligned to and put in contact with the underlying pattern with the methods in said step “(b)” in a way that the cavities of the insulating layer 5 , which are enclosing the electrolyte 3 , are placed only in the areas which are to be plated upon.
  • the predeposited anode material 10 is dissolved from the conducting electrode layer 6 in the cavities of the master and ECPR plated structures 11 are formed by the method described in said step “(c)”. This step can only be performed if at least some parts of the fourth structure layer are completely inside the structure layer of the previous layer, and results in a saving of method steps.
  • FIG. 2( n ) illustrates a substrate 2 onto which a fourth layer of ECPR plated structures 11 have been deposited directly onto at least some parts of the second ECPR plated pattern without removing the seed layer 1 which had been applied prior to forming the third layer of ECPR plated structures.
  • FIG. 2( o ) illustrates how the seed layer has been removed with the method in said step “(e)” and how a further layer of dielectric material 9 has been applied and covering the ECPR plated structures 11 on substrate 2 .
  • FIG. 2( p ) illustrates how the dielectric material 9 has been planarized using the method in step “(f)” uncovering the top of the ECPR plated structures 11 .
  • the polishing will be performed on two layers having different hardness, such as the hard structure layer of metal and the soft material layer of dielectric material. This can result in dishing and erosion of the soft material and crack formation on the structure layer.
  • the dishing and erosion problems are directly related to the amount of structure material that is planarized.
  • FIGS. 3( a ) to 3 ( k ) illustrate the steps for producing a substrate comprising multiple layers of ECPR etched structures and multiple layers of lithography patterned dielectric material.
  • several procedure steps are saved because the dielectric material is formed with a thickness corresponding to two layers at the same time.
  • two structure layers are formed in a single process, thus saving further time, by applying a seed layer of a thickness corresponding to two layers.
  • FIG. 3( a ) illustrates a substrate 2 on which a seed layer 1 is arranged with the method in said step “(a)”.
  • FIG. 3( b ) illustrates a master electrode 4 that is aligned and put into contact with a seed layer 1 on a substrate 2 using the methods in said step “(b)” and where an electrolyte 3 is enclosed in the cavities of the insulating layer 5 of the master.
  • FIG. 3( c ) illustrates how ECPR etched structures are formed in the seed layer 1 on a substrate 2 by using the methods described in said step “(c)”.
  • the etched material 7 is transferred in the electrolyte 3 and deposited onto the conducting electrode layer 6 in the cavities of the insulating layer 5 in the master electrode 4 .
  • FIG. 3( d ) illustrates a substrate 2 with ECPR etched structures 8 after separating the master 4 from the substrate with the method in said step “(d)” and after removing possible residues or particles of the seed layer 1 in the etched grooves. Also the material deposited inside the cavities of the master electrode has been removed according to the method in said step “(e)”.
  • FIG. 3( e ) illustrates how a dielectric material 9 has been applied to a substrate 2 with the method in step “(f)” and is covering the ECPR etched structures 8 .
  • the material is applied in a thickness corresponding to two layers.
  • a dielectric layer such as spin-coating or spray-coating
  • a planarization material layer can be applied as described in step “(f)”.
  • small valleys may still be formed opposite the cavities in the structure layer 8 below. Such small valleys do not mean any problem in this process.
  • the dielectric material layer is planarized with for example contact planarization.
  • polishing methods can be used, since a single material is affected, namely the material of the dielectric layer.
  • contact planarization and/or polishing methods can be combined with said etch-back methods to achieve desired planarization.
  • FIG. 3( f ) illustrates how the dielectric material 9 is patterned by lithographic methods in said step “(f)”, uncovering the top of at least some parts of the ECPR etched structures 8 previously formed on the substrate 2 .
  • FIG. 3( g ) illustrates a second seed layer 1 that is applied using the methods in said step “(a)” onto the lithography patterned dielectric material 9 which previously is applied to the substrate 2 .
  • the second seed layer is applied with a thickness sufficient for two layers.
  • the cavities of the dielectric material below cause the formation of grooves on top of the applied seed layer corresponding to the pattern, as shown in the figure. Such grooves do not cause any problem for the present process.
  • electroplating methods including the used of additives, such as mentioned above, and/or pulse plating can be used to apply the relatively thick seed layer with a uniform upper surface independent on any recesses in the underlying layer. Using any application method, a uniform upper surface can be achieved independent on any recess in the substrate if the thickness of the seed layer is significantly larger than the depth of the recess.
  • FIG. 3( h ) illustrates how the grooves of the seed layer 1 is removed, if desired, with the planarization methods such as for a dielectric material which is described in said step “(f)”. Since the planarization works on a single material, in this case the hard material of the seed layer, any polishing method can be used. As mentioned above, this planarization can in some cases be left out.
  • FIG. 3( i ) illustrates how the master electrode 4 is aligned and put in contact with the second seed layer 1 using the methods in said step “(b)”. Electrolyte 3 is enclosed in the cavities of insulating pattern layer 5 . The figure also illustrates how structures are formed by ECPR etching according to the method in said step “(c)”, whereby the etched material 7 is being deposited on the conducting electrode layer 6 in the cavities of the master electrode.
  • FIG. 3( j ) illustrates how a second layer of ECPR etched structures 8 is formed after separating of the master electrode from the substrate 2 using the method in said step “(d)” and after removing possible residues of seed layer in the grooves of the ECPR etched structures using the method in said step “(e)”.
  • FIG. 3( k ) illustrates a second dielectric material 9 that is applied with a thickness of two layers and patterned with lithography on the second layer of ECPR etched structures 8 using the methods in said step “(f)”.
  • FIG. 3( l ) illustrates how a third seed layer 1 is applied, with a thickness of two layers, with the method in “(a)”, how ECPR etched structures 8 is formed by repeating the methods in said steps “(b)” to “(e)” and how a dielectric material 9 is applied and further patterned with lithography using the method in said step “(f)”. These processes are repeated until the entire structure is built.
  • FIGS. 4( a ) to 4 ( m ) illustrate the steps for producing a substrate comprising multiple layers of ECPR plated structures and multiple layers of lithography patterned dielectric material.
  • FIG. 4( a ) illustrates a substrate 2 onto which a relatively thin seed layer 1 is applied with the method described in said step “(a)”.
  • FIG. 4( b ) illustrates how the master electrode 4 is aligned and put in contact with the seed layer 1 on the substrate 2 in the presence of an electrolyte 3 , which is enclosed in the cavities of the insulating pattern layer 5 , with the method in said step “(b)”.
  • FIG. 4( c ) illustrates how ECPR plating proceeds with the method in said step “(c)”.
  • An anode material 10 which is previously predeposited onto the conducting electrode layer 6 in the cavities exerted by the insulating pattern 5 of the master electrode 4 , is dissolved and transported in the electrolyte 3 at the same time as ECPR plated structures 11 are formed onto the seed layer 1 on the substrate 2 creating a pattern which is a replica (positive image) of the cavities of the master electrode.
  • FIG. 4( d ) illustrates the substrate 2 with ECPR plated structures 11 after separating the master electrode 4 from the substrate 2 with the method in said step “(d)”.
  • the seed layer in the cavities between the plated structures is removed with the method described in said step “(e)”.
  • All or substantially all of the anode material, which is predeposited in the cavities of the master is transferred to the substrate forming the ECPR plated structures. Remaining residues of predeposited material in the master is removed according to the methods described in said step “(e)”.
  • FIG. 4( e ) illustrates an alternative to FIG. 4( d ) showing the substrate with ECPR plated structures 11 after separating the master electrode 4 from the substrate 2 with the method in said step “(d)” and after removing the seed layer in the cavities between the plated structures with the method described in said step “(e)”.
  • Only a portion of the anode material 10 which is predeposited in the cavities of the master, is transferred to the substrate forming the ECPR plated structures.
  • the remaining predeposited anode material can be used for one or several subsequent ECPR plating steps or can be removed from the cavities by using the methods described in said step “(e)”. In some embodiments, the remaining anode material does not have to be removed before predepositing new anode material for using in a subsequent ECPR plating step.
  • FIG. 4( f ) illustrates how a dielectric material 9 has been applied with the method in said step “(f)” and covering the ECPR plated structures 11 on a substrate 2 and having a height corresponding to two layers.
  • the dielectric material layer can be planarized if required.
  • FIG. 4( g ) illustrates how the dielectric material 9 is patterned by said lithography and/or etching methods in step “(f)”, uncovering the top of at least some parts of the ECPR plated structures 11 previously formed on the substrate 2 .
  • FIG. 4( h ) illustrates a relatively thin seed layer 1 that is applied using the method in said step “(a)” onto the dielectric material 9 .
  • FIG. 4( i ) illustrates a master electrode 4 that is aligned and put in contact with the seed layer 1 using the methods in said step “(b)”.
  • a second layer of ECPR plated structure 11 is formed inside the cavities of the insulating pattern layer 5 as well as in the cavities of patterned dielectric material 9 by dissolving the predeposited anode material 10 which is transported in the electrolyte 3 and deposited on the seed layer.
  • a third layer is formed by deposition.
  • the third layer can include with small recesses opposite the cavities in the second dielectric layer, as shown. Such recesses normally mean no problem in the process.
  • Recesses can also be minimized by the use of pulse-plating, such as pulse-reverse-plating, and/or by including additives in the electrolyte such as mentioned above. If necessary, the recesses can be removed by a planarization operation, which can be a polishing operation since only hard material is encountered, namely the deposited material, such as a metal.
  • FIG. 4( j ) illustrates a third layer of ECPR plated structures 8 being finalized after separation of the master electrode using the method in said step “(d)”.
  • the seed layer is removed in the areas between the ECPR plated structures using the method in said step “(e)”.
  • the second layer of ECPR plated structures fill up the cavities of the lithography patterned dielectric material 9 and connect to the first layer of underlying structures and forms at the same time a third structure layer.
  • FIG. 4( k ) illustrates a second layer of dielectric material 9 that is applied with a thickness of two layers and patterned with lithography and/or etching on a second layer of ECPR etched structures 8 using the methods in said step “(f)”.
  • FIG. 4( l ) illustrates how a fourth and fifth layer of ECPR plated structures 11 are formed by repeating the methods in step “(a)” to “(e)”. At lest some portion of the ECPR plated structures connect to at least some parts of the underlying structures through the cavities of the lithography patterned dielectric material 9 .
  • FIG. 4( m ) illustrates how a further layer of dielectric material 9 with a thickness of two layers is applied and patterned on the third layer of ECPR plated structures 11 using the method in said step “(f)”.
  • the process is repeated until the desired number of layers is built.
  • FIGS. 5( a ) to 5 ( l ) illustrate the steps for producing a substrate comprising multiple layers of ECPR plated structures including barrier/capping layers and multiple layers of lithography patterned dielectric material.
  • ECPR plating can be used for creating metallic interconnects in semiconductor device.
  • a substrate 2 is patterned with a pre-metal dielectric 12 , which is arranged covering possible semiconductors or transistors formed in the substrate. The cavities or the pattern are filled for creating connection plugs 13 of a suitable material, for instance tungsten.
  • a first barrier/capping layer 14 is applied onto the connection plugs 13 and the pre-metal dielectric layer 12 .
  • the barrier/capping layer can be of the same materials and can be applied with the same methods as described for the barrier/capping layers in said step “(f)”.
  • a first layer of dielectric material 9 is applied with methods in said step “(f)”.
  • the dielectric material may comprise a suitable low-k or ultra low-k material, also described in said step “(f)”. The result of performing the mentioned steps is shown in FIG. 5( a ).
  • FIG. 5( b ) illustrates an etch-mask 15 that is applied and patterned on top of the dielectric material 9 .
  • said etch-mask is removed after the etching step.
  • removing the etch-mask is not required, for instance in order to improve mechanical properties of the multilayer structure.
  • FIG. 5( c ) illustrates how the dielectric material 9 and the barrier/capping layer 14 are patterned by the lithography and/or etching methods in said step “(f)” thereby uncovering the top of the connection plugs 13 forming cavities down to the connection plug 13 .
  • FIG. 5( d ) illustrates how a barrier/capping layer 14 and a seed layer 1 is applied onto, and into the cavities of, the patterned dielectric material 9 using the method in said step “(a)”.
  • the barrier/capping layer can also functions as a seed layer, e.g. when using a Ru layer.
  • FIG. 5( e ) illustrates how a master electrode 4 is aligned to the patterned dielectric material 9 and put in contact with the seed layer 1 and enclosing electrolyte 3 in the cavities of the insulating pattern layer 5 using the method in step “(b)”.
  • the predeposited anode material 10 is dissolved at the conducting electrode layer 6 and ECPR plated structures are deposited on the seed layer 1 in the cavities that are filled with electrolyte 3 , as described in the method in said step “(c)”.
  • FIG. 5( f ) illustrates how two layers of ECPR plated structures 11 are formed in one step where the first is filling the cavities of the dielectric material 9 and the second is forming lines on top of some parts of the dielectric material 9 .
  • the via can first be filled by ECPR plating using the methods in said steps “(b)” to “(d)” and then ECPR plated structures 11 are deposited on top on the via layer by repeating the methods in said steps “(b)” to “(d)” and without removing the seed layer in between, possibly by using a second master electrode with a different pattern.
  • FIG. 5( g ) illustrates how the seed layer and the barrier/capping layer selectively are removed in the areas between the ECPR plated structures 11 using the method in said step “(e)”.
  • An anisotropic etching method can be used in order to avoid or reduce the etching of the sidewalls and/or undercutting of the ECPR plated structures 11 .
  • the seed layer and/or the capping layer can be of a material that can be selectively etched in relation to the material of the ECPR plated structures 11 .
  • said seed layer and/or barrier/capping layer can be treated with methods, such as anodization methods mentioned above, converting said materials into insulating materials. In this case, removing said layers may not be required.
  • FIG. 5( h ) illustrates how a barrier/capping coating 16 selectively is applied on the ECPR plated structures.
  • a second layer of dielectric material 9 is applied with a thickness of two layers and patterned with lithography, using the method in said step “(f)”.
  • the deposition of this barrier/capping coating can be done with a method that selectively deposits the material only onto the ECPR plated conductive structures as described in the method in said step “(f)” and does not deposit material on the non-conductive dielectric material 9 .
  • the deposition process can for instance be an electroless deposition process mentioned below and the material can for instance be CoWP, CoWB or CoWBP.
  • the barrier/capping coating is removed, using said etching methods, in the bottom of the cavities of the applied dielectric layer 9 in order to achieve a better contact to a subsequent structure layer.
  • FIG. 5( i ) illustrates how a barrier/capping layer 14 and a seed layer 1 is applied on top of and into the cavities of the patterned dielectric material 9 , using the method in said step “(a)”.
  • FIG. 5( j ) illustrates how the cavities of the dielectric material 9 is filled and how another wire layer of ECPR plated structures 11 is simultaneously formed on top of the dielectric material by repeating the methods in said steps “(b)” to “(d)”.
  • the seed layer and the barrier/capping layer are selectively removed in the areas between the ECPR plated structures 11 using the method in said step “(e)”.
  • a barrier/capping coating 16 is selectively applied onto the ECPR plated structures and a dielectric material is applied and patterned, using the method in said step “(f)”.
  • FIG. 5( k ) illustrates the result after applying a barrier/capping layer 14 and a seed layer 1 using the method in said step “(a)”; forming ECPR plated structures that fill up the cavities of the patterned dielectric material 9 and forming a wire layer of ECPR plated structures 11 on top of the dielectric material by repeating the methods in said steps “(b)” to “(d)”; and removing the barrier/capping layer and seed layer from the areas between the ECPR plated structures using the method in said step “(e)”.
  • FIG. 5( l ) illustrates how another layer of barrier/capping coating 16 is applied onto the ECPR plated structures 11 and how a dielectric material 9 is applied and patterned and/or planarized to uncover the top of the plated structures using the method in said step “(f)”.
  • a passivation layer 17 is applied on top of the ECPR plated structures and dielectric material 9 .
  • the passivation layer can be one or several barrier/capping layers and/or dielectric material layers.
  • FIGS. 6( a ) to 6 ( n ) illustrate the steps for producing a substrate comprising multiple layers of ECPR plated structures including barrier/capping layers and multiple layers of planarized dielectric material.
  • FIG. 6( a ) illustrates a substrate 2 with a patterned pre-metal dielectric layer 12 and connection plugs 13 , onto which a barrier/capping layer 14 and a seed layer 1 has been applied using the method in said step “(a)”.
  • the substrate is similar to the substrate shown in FIG. 5( a ).
  • FIG. 6( b ) illustrates how a master electrode 4 is aligned to the top layer on the substrate and put in contact with the seed layer 1 and enclosing electrolyte 3 in the cavities of the insulating pattern layer 5 using the method in said step “(b)”.
  • the predeposited anode material 10 is dissolved at the conducting electrode layer 6 and ECPR plated structures are deposited on the seed layer 1 in the cavities that are filled with electrolyte 3 , as described in the method in said step “(c)”.
  • FIG. 6( c ) illustrates how ECPR plated structures 11 is formed as a replica (positive image) of the cavities of the master electrode that is separated from the substrate using the method in said step “(d)”.
  • FIG. 6( d ) illustrates how the seed layer 1 and the barrier/capping layer 14 are removed between the ECPR plated structures 11 .
  • An anisotropic etching method can be used in order to avoid or reduce the etching of the sidewalls and/or undercutting of the ECPR plated structures 11 .
  • the seed layer and/or the barrier/capping layer can be of a material that can be selectively etched in respect to the material of the ECPR plated structures 11 .
  • FIG. 6( e ) illustrates how a barrier/capping coating 16 selectively is applied and is covering the ECPR plated structures 11 .
  • the barrier/capping coating 16 can also form an etch-stop coating as described below.
  • FIG. 6( f ) illustrates how a dielectric material 9 is applied and planarized.
  • the planarization is performed by a polishing action until the dielectric layer is slightly above the structure below as shown in FIG. 6( f ).
  • This planarization is performed on a single material, namely the soft material of the dielectric layer.
  • FIG. 6( g ) illustrates a final removal of dielectric material until the top of the structure below is uncovered.
  • the final removal can be performed by etching with a method that removes the material with a uniform rate. If the coating 16 has etch-stop properties, the etching only affects the dielectric material. The material is removed until the structure material 8 below is uncovered. The removal can continue for some time to form a safety margin, for example remove 5 to 10% extra material of the dielectric material, calculated from the start of the etch process. If necessary, the barrier/capping coating 16 can be selectively removed from the top of the exposed structure, especially if the coating 16 is an etch-stop coating.
  • FIG. 6( h ) illustrates how a second layer of ECPR plated structures 11 is formed using the methods in said steps “(b)” to “(d)”; and how the seed layer 1 and the barrier/capping layer 14 is removed between the second ECPR plated structures using the method in said step “(e)”; and how a barrier/capping coating 16 selectively is applied and is covering the ECPR plated structures; and how a second layer of dielectric material 9 is applied and planarized using the method in said step “(f)” uncovering the top of the ECPR plated structures as described above.
  • FIG. 6( i ) illustrates how a barrier/capping layer 14 and a seed layer 1 are applied using the method in said step “(a)”; and how a subsequent layer of ECPR plated structures 11 is formed onto the seed layer using the methods in said steps “(b)” to “(d)”.
  • FIG. 6( j ) illustrates how a subsequent layer of ECPR plated structures is formed, using the methods in said steps “(a)” to “(d)”, directly onto at least some parts of the previous plated structures without removing the previously applied barrier/capping layer 14 and seed layer 1 .
  • the figure illustrates how the master electrode 4 is aligned and put in contact with the previously formed ECPR plated structures using the method in said step “(b)”.
  • the predeposited anode material 10 is dissolved and transported in the electrolyte 3 inside the cavities of the insulating pattern layer 5 and a subsequent layer of ECPR plated structures is formed, onto at least some parts of the previous layer of ECPR plated structures.
  • FIG. 6( k ) illustrates how a subsequent layer of ECPR plated structures 11 is formed, with the method in said steps “(a)” to “(d)”, onto at least some parts of the preceding layer of ECPR plated structures without removing the preceding barrier/capping layer 14 and seed layer 1 .
  • FIG. 6( l ) illustrates how the seed layer 1 and the barrier/capping layer 14 are removed selectively between the ECPR plated structures using the method in said step “(e)”; how a barrier/capping coating selectively is applied to and is covering both the previous and the subsequent layer the ECPR plated structures 11 and how another layer of dielectric material 9 is applied and planarized to uncover the top of the previous layer of ECPR plated structures, using the method in said step “(f)”.
  • FIG. 6( m ) illustrates how a barrier/capping layer 14 and a seed layer 1 is applied using the method in said step “(a)”; a subsequent layer of ECPR plated structures 11 is formed onto the seed layer 1 using the methods in said steps “(b)” to “(d)”; and how the barrier/capping layer 14 and seed layer 1 are removed selectively between the ECPR plated structures using the method in said step “(e)”.
  • FIG. 6( n ) illustrates how a barrier/capping coating 16 selectively is applied to and is covering the ECPR plated structures 11 and how another layer of dielectric material 9 is applied and planarized using the method in said step “(f)”. Finally, a passivation layer 17 is applied to cover the dielectric material and the top of the ECPR plated structures, which are covered with a barrier/capping coating.
  • forming multiple metallic interconnect layers and dielectric layers in a semiconductor device includes creating at least one layer of ECPR plated structures and dielectric material, as illustrated in FIG. 5 and FIG. 6 , using either etching or plating or a combination thereof, and creating at least another layer of conducting structures and dielectric material using known lithographical and plating techniques such as the dual damascene or single damascene process.
  • Some embodiments such as when forming metallic interconnects for integrated circuits (IC), includes fabricating said interconnects by forming multiple ECPR plated structure layers, for instance comprising Cu, and arranging a dielectric material, such as a low-k material, between said structures.
  • a dielectric material such as a low-k material
  • the dielectrics layers are firstly etched and subsequently interconnects are electroplated filling the cavities etched.
  • dielectric materials with lower dielectric constant is required.
  • etching and post-etch-cleaning may result in various problems such as too high line width variations and k-value increase.
  • the method of the present process can eliminate or reduce the number of etching steps of said layers of dielectric material, for instance ultra low-k dielectric layers. Said elimination or reduction of number of etching steps results in less line width variations and less k-value increase which enables the use of ultra low-k materials in an IC device and hence a lower RC-delay as well as less RC-delay variations can be achieved.
  • ECPR plating can be used to fill vias or other grooves in a substrate and/or in a patterned material onto a substrate.
  • FIG. 7( a ) illustrates a via-substrate comprising a substrate 2 , a patterned dielectric material 9 and a seed layer 1 .
  • a master electrode is aligned and put in contact with the seed layer, using the methods in said step “(b)”, in such a way that the walls of the insulating pattern layer 5 which define the cavities of the master electrode 4 are placed outside of the lateral extent of the vias in the dielectric material 9 , the cavities of the master electrode having a larger width than the vias.
  • the predeposited anode material 10 is transferred in the electrolyte 3 by ECPR plating using the method in said step “(c)”.
  • FIG. 7( b ) illustrates how the cavities of the dielectric material 9 are filled with ECPR plated structures 11 .
  • the material deposited on top of the seed layer beside the via can include a groove as shown in FIG. 7( b ).
  • the groove is in some cases of no or less importance.
  • Such chemicals can include additive systems such as described above.
  • the grooves can be reduced by using pulse plating methods such as pulse reverse plating.
  • FIGS. 8( a ) to 8 ( b ) illustrate the steps for filling the vias of a substrate with a patterned dielectric material when aligning the cavities of the master electrode edge to edge with the via-holes, the cavities of the master electrode having the same width as the via-holes.
  • FIG. 8( a ) illustrates how the master electrode is aligned and put in contact with the seed layer, using the methods in said step “(b)”, in such way that the walls of the insulating pattern layer 5 which define the cavities of the master electrode 4 are placed “edge to edge” of the walls of the vias in the dielectric material 9 .
  • the predeposited anode material 10 is transferred in the electrolyte 3 by ECPR plating using the method in said step “(c)”.
  • FIG. 8( b ) illustrates how the cavities of the dielectric material 9 are filled with ECPR plated structures 11 .
  • FIGS. 9( a ) to 9 ( c ) illustrate the steps for filling the vias of a substrate with a patterned dielectric material when aligning the cavities of the master electrode within the lateral extent of the via-holes, the cavities of the master electrode having a smaller width than the via-holes.
  • FIG. 9( a ) illustrates how the master electrode is aligned and put in contact with the seed layer, using the method in said step “(b)”, in such way that the walls of the insulating pattern layer 5 which define the cavities of the master electrode 4 are placed within the lateral extent of the vias in the dielectric material 9 .
  • the predeposited anode material 10 is transferred in the electrolyte 3 by ECPR plating using the method in said step “(c)”, as shown in FIG. 9( a ).
  • FIG. 9( b ) illustrates how the cavities of the dielectric material 9 are filled with ECPR plated structures 11 .
  • FIG. 9( c ) illustrates how the ECPR plated structures 11 are formed above the dielectric material layer as a replica (positive image) of the cavities of insulating pattern layer on the master electrode.
  • FIGS. 10( a ) to 10 ( c ) illustrate the steps for forming a filled through-hole-substrate by filling a via-hole-substrate and planarizing the backside.
  • FIG. 10( a ) illustrates how a master electrode 4 is aligned and put in contact with a seed layer 1 on a substrate 2 patterned with via-hole cavities.
  • the walls of the cavities of the insulating pattern layer 5 can be placed within or outside the lateral extent of, or edge to edge with the walls of the cavities of the substrate.
  • predeposited anode material 10 is dissolved at the conducting electrode layer 6 and ECPR plated structures are formed onto the seed layer 1 in the cavities that comprise the electrolyte 3 .
  • FIG. 10( b ) illustrates how the cavities of the substrate 2 are filled with ECPR plated structures 11 .
  • FIG. 10( c ) illustrates how the seed layer on the front side is removed, the backside of the substrate 2 has been planarized and how the bottom of the ECPR plated structures 11 is uncovered by etching, planarization and/or grinding from the backside.
  • FIGS. 11( a ) to 11 ( c ) illustrate the steps for forming a filled through-hole-substrate by filling a through-hole-substrate with a seed layer on the backside.
  • FIG. 11( a ) illustrates how a master electrode 4 is aligned and put in contact with the front side of a substrate 2 patterned with through-hole cavities and a seed layer 1 on the backside.
  • the walls of the cavities of the insulating pattern layer 5 can be placed within (as illustrated in this figure), or outside the lateral extent of, or edge to edge with the walls of the cavities of the substrate.
  • predeposited anode material 10 is dissolved at the conducting electrode layer 6 and ECPR plated structures are formed onto the seed layer 1 in the cavities that comprise the electrolyte 3 .
  • FIG. 11( b ) illustrates how the cavities of the substrate 2 are filled with ECPR plated structures 11 .
  • FIG. 11( c ) illustrates how the seed layer on the backside is removed and how the bottom of the ECPR plated structures 11 is uncovered.
  • FIGS. 12( a ) to 12 ( b ) illustrate the steps for forming a filled through-hole-substrate by filling a through-hole-substrate onto which a seed layer has been applied.
  • FIG. 12( a ) illustrates how a master electrode 4 has been aligned and put in contact with a seed layer 1 on a substrate 2 patterned with via-through-hole cavities whereby the seed layer 1 extends on the front-side and on the walls of the via-through-holes.
  • the walls of the cavities of the insulating pattern layer 5 can be placed within (as illustrated in this figure), or outside the lateral extent of, or edge to edge with the walls of the cavities of the substrate.
  • FIG. 12( b ) illustrates how the cavities of the substrate 2 are filled with ECPR plated structures 11 and how the seed layer is removed on the front side.
  • FIGS. 13( a ) to 13 ( c ) illustrate the steps for forming a filled through-hole-substrate including filling a via-hole-substrate that is coated with a dielectric material and including patterning the substrate backside.
  • FIG. 13( a ) illustrates how a master electrode 4 has been aligned and put in contact with a seed layer 1 on a substrate 2 patterned with via-hole cavities, which in turn have been patterned by a dielectric material 9 which covers the substrate front-side and the vertical walls of the vias.
  • the walls of the cavities of the insulating pattern layer 5 can be placed within (as illustrated in this figure), or outside the lateral extent of, or edge to edge with the walls of the cavities of the substrate.
  • predeposited anode material 10 is dissolved at the conducting electrode layer 6 and ECPR plated structures are formed onto the seed layer 1 in the cavities that comprise the electrolyte 3 .
  • FIG. 13( b ) illustrates how the cavities of the substrate 2 , which are coated with a patterned dielectric material 9 , are partly filled with ECPR plated structures 11 .
  • FIG. 13( c ) illustrates how the seed layer on the front side is removed and how the backside of the substrate 2 is patterned to uncover the bottom of the ECPR plated structures 11 and the dielectric material 9 .
  • FIGS. 14( a ) to 14 ( c ) illustrate the steps for forming a filled and front side patterned through-hole-substrate.
  • FIG. 14( a ) illustrates how a master electrode 4 is aligned and put in contact with a seed layer 1 on a substrate 2 patterned with via-hole cavities, which in turn have been patterned by a dielectric material 9 .
  • the walls of the cavities of the insulating pattern layer 5 can be placed within or outside (as illustrated in this figure) the lateral extent of, or edge to edge with the walls of the cavities of the substrate. Some parts of the cavities of the insulating pattern layer 5 can be located in areas, separate from the substrate cavities, where ECPR plated structures also are to be formed.
  • predeposited anode material 10 is dissolved at the conducting electrode layer 6 and ECPR plated structures are formed onto the seed layer 1 in the cavities that comprise the electrolyte 3 .
  • FIG. 14( b ) illustrates how the cavities of the substrate 2 , which are coated with a patterned dielectric material 9 , are filled and how ECPR plated structures 11 also are formed on some parts of the seed layer 1 .
  • FIG. 14( c ) illustrates how the seed layer on the front side is removed in the areas between the ECPR plated structures 11 and how the backside of the substrate 2 is patterned to uncover the bottom of the ECPR plated structures.
  • FIGS. 15( a ) to 15 ( c ) illustrate the steps for coating a patterned substrate, which is covered with a seed layer, with ECPR plated structures.
  • FIG. 15( a ) illustrates how a master electrode 4 has been aligned and put in contact with a seed layer 1 on a substrate 2 patterned with structures.
  • the structures exerting the pattern on the substrate can for instance be a conducing pattern 18 , a substrate pattern and/or a dielectric material pattern 9 .
  • the master electrode can be placed in a way that the cavities of the insulating pattern layer 5 enclose at least some parts of the substrate structures. Some parts of the cavities of the insulating pattern layer 5 can be located in areas, separate from the substrate structures, where ECPR plated structures also are to be formed.
  • a voltage is applied, predeposited anode material 10 is dissolved at the conducting electrode layer 6 and ECPR plated structures are formed onto the seed layer 1 in the cavities that comprise the electrolyte 3 .
  • FIG. 15( b ) illustrates how the substrate structures that were enclosed in the cavities of insulating pattern layer of the master electrode are coated with ECPR plated structures 11 . Also, other areas corresponding to the cavities of the insulating pattern layer of the master electrode that do not enclose the substrate structures, are patterned with ECPR plated structures.
  • FIGS. 16( a ) to 16 ( d ) illustrate the steps for creating multiple coating layers on a substrate patterned with conducting material.
  • FIG. 16( a ) illustrates how a master electrode 4 is aligned and put in contact with a seed layer onto which conducting material structures are formed 18 .
  • the master electrode can be placed in a way that the cavities of the insulating pattern layer 5 enclose at least some parts of the conducting material structures 18 .
  • predeposited anode material 10 is dissolved at the conducting electrode layer 6 and ECPR plated structures are formed onto the seed layer and the conducting material structures 18 in the cavities that comprise the electrolyte 3 .
  • FIG. 16( b ) illustrates how the conducting material structures 18 that are enclosed in the cavities of the master electrode are coated with ECPR plated structures 11 .
  • FIG. 16( c ) illustrates how a second coating of ECPR plated structures 11 is applied to the previously coated material 19 covering the conducting material structures 18 .
  • FIG. 16( d ) illustrates how the seed layer selectively is removed in the areas between the coated structures.
  • This method can be repeated one or several times whereby one or several layers of ECPR plated structures 11 can be coated onto the previously coated material 19 on the conducing material 18 structures.
  • the different layers of ECPR plated structures can be of the same or several different materials.
  • the conducting material 18 can be Cu
  • the first coated material 19 can be Ni
  • the second coating of ECPR plated structures 11 can be Au.
  • the conducing material 18 can be Cu
  • the first coated material 19 can be Sn
  • the second coating of ECPR plated structures 11 can be Ag.
  • the ECPR plated structures that coat the substrate pattern comprises a barrier/capping material, adhesion material, etch-mask material and/or etch-stop material.
  • FIGS. 17( a ) to 17 ( h ) are sectional views of different exemplary combinations of designs and materials of a master electrode.
  • FIG. 17( a ) illustrates a sectional view of a master electrode comprising a flexible conducting foil 20 and an insulating pattern layer 5 .
  • FIG. 17( b ) illustrates a sectional view of a master electrode comprising a conducting electrode layer 6 and an insulating pattern layer 5 .
  • FIG. 17( c ) illustrates a sectional view of a master electrode comprising a mechanical support layer 22 , a conducting electrode layer 6 and an insulating pattern layer 5 .
  • FIG. 17( d ) illustrates a sectional view if a master electrode comprising a mechanical support layer 22 , a conducting electrode layer 6 , an insulating pattern layer 5 and a flexible elastomer layer 21 .
  • FIG. 17( e ) illustrates a sectional view of a master electrode comprising a flexible conducting foil 20 , an insulating pattern layer 5 and a flexible elastomer layer 21 .
  • FIG. 17( f ) illustrates a sectional view of a master electrode comprising a conducting electrode layer 6 an insulating pattern layer 5 and a flexible elastomer layer 21 .
  • FIG. 17( g ) illustrates a sectional view of a master electrode comprising a mechanical support layer 22 , a conducting elastomer layer 23 , a conducting electrode layer 6 and an insulating pattern layer 5 .
  • FIG. 17( h ) illustrates a sectional view of a master electrode comprising a mechanical support layer 22 , a conducting electrode layer 6 , an insulating pattern layer 5 and intermediate metal layer 24 and a flexible elastomer layer 21 .
  • the master electrode comprises at least one insulating pattern layer and at least one conducting electrode layer (normally inert in the ECPR process) and possibly a predeposited anode material in the cavities of the master electrode.
  • the insulting pattern of the master layer is a polymer, e.g. a photoresist, an oxide, e.g. SiO 2 , a nitride, e.g. SiN, or combinations thereof.
  • the electrolyte comprises suitable substances for dissolving and depositing the conducting material in which the structures are formed during the ECPR process.
  • the electrolyte comprises an aqueous solution of Cu 2+ , SO 4 2 ⁇ , H + and/or Cl ⁇ and additives such as levelers, accelerators, brighteners, suppressors and wetting agents.
  • additives can be poly-ethylene-glycol (PEG), chloride ions, MPSA, SPS and/or sodium-lauryl-sulfate.
  • FIG. 18 illustrates the schematic eight step process of conventional lithography and electroplating.
  • FIG. 19 illustrates the schematic three step process of producing a plating pattern with the ECPR method.
  • an etching pattern is created with an electrochemical process by using the conducting electrode layer of the master electrode as cathode whereby material is dissolved from the substrate, transferred in the electrolyte and deposited on the cathode thereby creating ECPR etched structures on the substrate corresponding to the pattern of insulating pattern layer on the master electrode. Since the material that is being dissolved from the substrate, which is anode, also is deposited at the conducting electrode layer, which is cathode, the amount of dissolved anode material in the electrolyte remains close to constant during the electrochemical process. If the deposition rate of the dissolved material is zero, the concentration of dissolved anode material ions in the electrolyte increases quickly, this slows down the electrochemical reaction until it eventually stops.
  • a too high ion concentration can also result in precipitation of salts. In this case, only small amounts could be dissolved from the substrate and only thin layers could be patterned. Instead, by making sure that the dissolution reaction has an appropriate deposition reaction, substrates with thicker layers can be etched.
  • the dissolution and deposition reaction in the electrochemical process is determined by the thermodynamic and kinetic reaction at a given applied potential in a specific system of anode, cathode and electrolyte. By choosing the appropriate anode material, cathode material and electrolyte, the desired dissolution and deposition reaction can be achieved since they are thermodynamically and kinetically favorable in the chosen system.
  • anode, cathode and electrolyte system is Ni as anode material, Au as a cathode material and a Watt's bath used as electrolyte.
  • the deposition reaction does not have to be corresponding to the dissolution reaction exactly. As long as the deposition rate of the dissolved material is larger than zero, the buildup of ion concentration of anode material in the electrolyte will be slow which means that it will take longer time before the reaction stops and hence thicker layers on the substrate can be etched. For instance, the deposition rate of the dissolved ions can be 90-100% of the dissolution rate.
  • the ion concentration of dissolved anode will increase slowly, but in some aspects a desired etched thickness can be achieved before the concentration becomes too high.
  • the dissolution rate can be lower than the deposition speed, which eventually leads to depletion of ion concentration in the electrolyte.
  • the dissolution reaction is not too low compared to the deposition reaction (e.g. >90% of the deposition rate)
  • a desired thickness can still be etched from the substrate before depletion of anode material ions in the electrolyte.
  • an inappropriate system is Ag as anode material, Al as cathode material and an alkaline silver cyanide bath as an electrolyte.
  • the deposition rate of silver ions is zero, which will lead to a fast buildup of silver ions in the electrolyte.
  • a plating pattern is created by an electrochemical process by using the conducting electrode layer of the master electrode as anode and having predeposited anode material on the anode inside the cavities defined by the master electrode whereby said anode material is dissolved, transferred in the electrolyte and deposited on the substrate, being cathode, thereby creating ECPR plated structures on the substrate corresponding to the cavities of the insulating pattern layer on the master electrode.
  • Another problem with prior art processes, which do not have predeposited material is that the dissolved material that is undercutting the insulating pattern layer leads to that the anode area increases differently in large contra small cavities in the insulating pattern layer.
  • the area increase due to undercutting is smaller than in small cavities, as illustrated in FIG. 20( a ).
  • Increasing the anode area leads to a higher current density (i.e. plating rate) at the cathode.
  • the structures plated in the small cavities of the insulating pattern layer will be deposited with a higher plating rate than the structures in the large cavities leading to an uneven thickness distribution that depends on the pattern.
  • this problem is solved by having a predeposited material, since no area increase will occur and thereby the current density (plating rate) will be the same in all cavities, non-depending on the size of the patterns.
  • the dissolution of predeposited material prevents the depletion of the concentration of ions in the electrolyte that are deposited on the cathode.
  • a depletion of ions in the electrolyte would gradually slow down the deposition process until it eventually stops and only thin layers of plated structures would be achievable.
  • the ion concentration remains stable and thicker layers of plated structures can be achieved.
  • an appropriate choice of electrochemical system is: having Cu as predeposited material (anode), Cu as seed layer (cathode) and an acidic copper sulfate bath as an electrolyte.
  • the deposition reaction does not have to be corresponding to the dissolution reaction exactly.
  • the dissolution rate of the predeposited material is larger than zero, the depletion of ion concentration in the electrolyte will be slower which means that it will take longer time before the reaction stops and hence thicker layers can be plated.
  • the dissolution rate can be 90-100% of the deposition rate.
  • the ion concentration of material being deposited will decrease slowly, but in some aspects a desired plated thickness can be achieved before the concentration becomes too low.
  • the method may include applying a barrier/capping coating 16 onto the top layer on the substrate 2 prior to applying a dielectric material 9 .
  • This may be done with a mask-less method, as mentioned in said step “(f)”, selectively coating the ECPR plated structures 11 .
  • it can be suitable not to remove the barrier/capping layer 14 after removing the seed layer 1 and prior to applying the barrier/capping coating 16 . In this way, top layer on the substrate 2 , for instance a dielectric material layer, is protected by the barrier/capping layer 14 in the following step of applying the barrier/capping coating 16 .
  • the barrier/capping layer 14 can be of a material onto which no barrier/capping coating 16 is deposited during the mask-less method used for applying the coating onto the ECPR plated structures 11 .
  • the barrier/capping layer 14 between the structures can be removed using said removing methods for the layer described in said step “(e)”.
  • the barrier/capping material may comprise a material that can be etched with a dry-etch method described in said step “(e)”.
  • the barrier/capping coating 16 may comprise a material that is not affected by the removing method used for the barrier/capping layer 14 or at least less affected than the material used for the barrier/capping layer 14 .
  • a conducting or semiconducting layer is used instead of said dielectric layer.
  • a sacrificial layer may be used instead of said dielectric layer, said sacrificial layer being removed after forming said multiple structure layers.
  • the same layer may comprise structural material, sacrificial material and dielectric material.
  • each individual layer can be of any dimension as required by the construction. However, normally, each layer is of a uniform height over the entire surface of the substrate, i.e. the layer has a substantially constant thickness.
US12/085,176 2005-11-18 2006-11-20 Method of Forming a Multilayer Structure Abandoned US20090218233A1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
SE0502538-2 2005-11-18
SE0502539-0 2005-11-18
SE0502539 2005-11-18
SE0502538 2005-11-18
PCT/SE2006/001319 WO2007058603A1 (en) 2005-11-18 2006-11-20 Method of forming a multilayer structure

Publications (1)

Publication Number Publication Date
US20090218233A1 true US20090218233A1 (en) 2009-09-03

Family

ID=38048911

Family Applications (12)

Application Number Title Priority Date Filing Date
US12/094,142 Abandoned US20090071837A1 (en) 2005-11-18 2006-11-20 Master electrode and method of forming it
US12/085,157 Abandoned US20090229856A1 (en) 2005-11-18 2006-11-20 Master Electrode and Method of Forming the Master Electrode
US12/085,176 Abandoned US20090218233A1 (en) 2005-11-18 2006-11-20 Method of Forming a Multilayer Structure
US12/412,322 Abandoned US20090183992A1 (en) 2005-11-18 2009-03-26 Method of forming a multilayer structure
US12/412,319 Abandoned US20090205967A1 (en) 2005-11-18 2009-03-26 Method of forming a multilayer structure
US12/470,452 Abandoned US20090229855A1 (en) 2005-11-18 2009-05-21 electrode and method of forming the master electrode
US12/470,448 Abandoned US20090229854A1 (en) 2005-11-18 2009-05-21 Electrode and method of forming the electrode
US12/470,444 Abandoned US20090229857A1 (en) 2005-11-18 2009-05-21 Electrode and method of forming the electrode
US13/429,733 Active US8617362B2 (en) 2005-11-18 2012-03-26 Electrode and method of forming the master electrode
US13/435,771 Abandoned US20120279866A1 (en) 2005-11-18 2012-03-30 Method of forming a multilayer structure
US13/456,142 Abandoned US20120267241A1 (en) 2005-11-18 2012-04-25 Master electrode and method of forming it
US14/135,745 Active 2027-04-01 US9441309B2 (en) 2005-11-18 2013-12-20 Electrode and method of forming the master electrode

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/094,142 Abandoned US20090071837A1 (en) 2005-11-18 2006-11-20 Master electrode and method of forming it
US12/085,157 Abandoned US20090229856A1 (en) 2005-11-18 2006-11-20 Master Electrode and Method of Forming the Master Electrode

Family Applications After (9)

Application Number Title Priority Date Filing Date
US12/412,322 Abandoned US20090183992A1 (en) 2005-11-18 2009-03-26 Method of forming a multilayer structure
US12/412,319 Abandoned US20090205967A1 (en) 2005-11-18 2009-03-26 Method of forming a multilayer structure
US12/470,452 Abandoned US20090229855A1 (en) 2005-11-18 2009-05-21 electrode and method of forming the master electrode
US12/470,448 Abandoned US20090229854A1 (en) 2005-11-18 2009-05-21 Electrode and method of forming the electrode
US12/470,444 Abandoned US20090229857A1 (en) 2005-11-18 2009-05-21 Electrode and method of forming the electrode
US13/429,733 Active US8617362B2 (en) 2005-11-18 2012-03-26 Electrode and method of forming the master electrode
US13/435,771 Abandoned US20120279866A1 (en) 2005-11-18 2012-03-30 Method of forming a multilayer structure
US13/456,142 Abandoned US20120267241A1 (en) 2005-11-18 2012-04-25 Master electrode and method of forming it
US14/135,745 Active 2027-04-01 US9441309B2 (en) 2005-11-18 2013-12-20 Electrode and method of forming the master electrode

Country Status (7)

Country Link
US (12) US20090071837A1 (ko)
EP (3) EP1948850B1 (ko)
JP (3) JP2009516388A (ko)
KR (3) KR101486587B1 (ko)
CN (3) CN101360850B (ko)
DK (2) DK1948852T3 (ko)
WO (3) WO2007058605A1 (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100006957A1 (en) * 2008-06-06 2010-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Microscopic structure packaging method and device with packaged microscopic structure
US20110074039A1 (en) * 2009-09-28 2011-03-31 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect for semiconductor device
WO2011162950A2 (en) * 2010-06-25 2011-12-29 International Business Machine Corporation Planar cavity mems and related structures, methods of manufacture and design structures
CN102766892A (zh) * 2012-08-10 2012-11-07 重庆绿色智能技术研究院 微纳加工方法和设备
US20120329224A1 (en) * 2011-06-23 2012-12-27 Samsung Electronics Co., Ltd. Method of forming fine pattern and method of manufacturing semiconductor device
WO2012097092A3 (en) * 2011-01-13 2013-01-24 Tamarack Scientific Co. Inc. Laser removal of conductive seed layers
US9598784B2 (en) 2013-08-02 2017-03-21 Omron Corporation Electroformed component production method
US10910232B2 (en) 2017-09-29 2021-02-02 Samsung Display Co., Ltd. Copper plasma etching method and manufacturing method of display panel
US20230071739A1 (en) * 2021-09-02 2023-03-09 Metaly S.R.L. Method of decorating pvd coated surfaces and decorated surfaces obtained

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100044079A1 (en) * 1999-08-27 2010-02-25 Lex Kosowsky Metal Deposition
US7276801B2 (en) 2003-09-22 2007-10-02 Intel Corporation Designs and methods for conductive bumps
WO2008048275A2 (en) * 2005-10-28 2008-04-24 The Curators Of The University Of Missouri Rapid heating with nanoenergetic materials
JP2009516388A (ja) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
JP5041214B2 (ja) * 2007-06-15 2012-10-03 ソニー株式会社 金属薄膜の形成方法および電子デバイスの製造方法
US8753974B2 (en) * 2007-06-20 2014-06-17 Micron Technology, Inc. Charge dissipation of cavities
US7951697B1 (en) 2007-06-20 2011-05-31 Amkor Technology, Inc. Embedded die metal etch stop fabrication method and structure
US7923645B1 (en) 2007-06-20 2011-04-12 Amkor Technology, Inc. Metal etch stop fabrication method and structure
US7958626B1 (en) 2007-10-25 2011-06-14 Amkor Technology, Inc. Embedded passive component network substrate fabrication method
JP5379147B2 (ja) * 2007-10-31 2013-12-25 本田技研工業株式会社 層状構造の燃料電池触媒及び集電体
JP4977587B2 (ja) * 2007-12-06 2012-07-18 シャープ株式会社 太陽電池の製造方法
JP5583894B2 (ja) * 2008-06-12 2014-09-03 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 電気錫めっき液および電気錫めっき方法
WO2009154173A1 (ja) * 2008-06-17 2009-12-23 株式会社アルバック 多段型基板の製造方法
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US8455357B2 (en) * 2008-10-10 2013-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of plating through wafer vias in a wafer for 3D packaging
JP4893760B2 (ja) * 2009-01-27 2012-03-07 ソニー株式会社 回路基板の支持構造及び撮像装置
EP2218772A1 (en) * 2009-02-09 2010-08-18 Koninklijke Philips Electronics N.V. Cardiomyocytes-containing device and method for manufacturing the same
JP5556051B2 (ja) * 2009-04-15 2014-07-23 住友ベークライト株式会社 樹脂組成物およびそれを用いた半導体装置
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
WO2011001847A1 (ja) * 2009-07-01 2011-01-06 Jx日鉱日石金属株式会社 Ulsi微細銅配線埋め込み用電気銅めっき液
CN101656198B (zh) * 2009-07-21 2012-08-08 上海大学 导电氧化物薄膜的电刻蚀装置及方法
US8841766B2 (en) 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US9190214B2 (en) * 2009-07-30 2015-11-17 Kemet Electronics Corporation Solid electrolytic capacitors with improved ESR stability
US8377816B2 (en) 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
DE102009036418B4 (de) * 2009-08-06 2011-06-22 Siemens Aktiengesellschaft, 80333 Wellenleiter, insbesondere beim Dielektrikum-Wand-Beschleuniger
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
CN102598883A (zh) 2009-10-30 2012-07-18 松下电器产业株式会社 电路板以及在电路板上安装有元件的半导体装置
US9332642B2 (en) 2009-10-30 2016-05-03 Panasonic Corporation Circuit board
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US9012766B2 (en) 2009-11-12 2015-04-21 Silevo, Inc. Aluminum grid as backside conductor on epitaxial silicon thin film solar cells
JP4768848B2 (ja) * 2009-12-07 2011-09-07 株式会社東芝 電鋳用原盤及びその製造方法
JP2011128185A (ja) * 2009-12-15 2011-06-30 Shinano Kenshi Co Ltd 光走査装置
CN102110866B (zh) * 2009-12-24 2013-08-28 深南电路有限公司 波导槽制作工艺
US10000411B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductivity and low emissivity coating technology
US10000965B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductive coating technology
US9862640B2 (en) 2010-01-16 2018-01-09 Cardinal Cg Company Tin oxide overcoat indium tin oxide coatings, coated glazings, and production methods
US10060180B2 (en) 2010-01-16 2018-08-28 Cardinal Cg Company Flash-treated indium tin oxide coatings, production methods, and insulating glass unit transparent conductive coating technology
US11155493B2 (en) 2010-01-16 2021-10-26 Cardinal Cg Company Alloy oxide overcoat indium tin oxide coatings, coated glazings, and production methods
KR101490571B1 (ko) * 2010-01-28 2015-02-05 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 패턴 형상 도전성막의 형성 방법
US8610270B2 (en) 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8524337B2 (en) 2010-02-26 2013-09-03 Guardian Industries Corp. Heat treated coated article having glass substrate(s) and indium-tin-oxide (ITO) inclusive coating
US8815059B2 (en) * 2010-08-31 2014-08-26 Guardian Industries Corp. System and/or method for heat treating conductive coatings using wavelength-tuned infrared radiation
US8293344B2 (en) 2010-02-26 2012-10-23 Guardian Industries Corp. Articles including anticondensation coatings and/or methods of making the same
US8304045B2 (en) 2010-02-26 2012-11-06 Guardian Industries Corp. Articles including anticondensation coatings and/or methods of making the same
WO2011108540A1 (ja) 2010-03-03 2011-09-09 国立大学法人大阪大学 ヌクレオチドを識別する方法および装置、ならびにポリヌクレオチドのヌクレオチド配列を決定する方法および装置
DE102010010348A1 (de) * 2010-03-05 2011-09-08 Albert-Ludwigs-Universität Freiburg Implantierbare Vorrichtung zum Erfassen einer Gefäßwanddehnung
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US20110272287A1 (en) * 2010-05-07 2011-11-10 International Business Machines Corporation Method for patterning magnetic films
KR101119251B1 (ko) * 2010-06-07 2012-03-16 삼성전기주식회사 터치패널
US9214576B2 (en) 2010-06-09 2015-12-15 Solarcity Corporation Transparent conducting oxide for photovoltaic devices
WO2011158698A1 (ja) * 2010-06-15 2011-12-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置
CN101856302B (zh) * 2010-07-08 2012-08-22 上海交通大学 异步多通路刺激电针灸针及其制备方法
EP2593592B1 (en) * 2010-07-15 2018-05-16 Luxembourg Institute of Science and Technology (LIST) Separation of master electrode and substrate in ecpr
WO2012019091A1 (en) * 2010-08-06 2012-02-09 Promerus Llc Sacrificial polymer compositions including polycarbonates having repeat units derived from stereospecific polycyclic 2,3-diol monomers
US8546254B2 (en) * 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US9773928B2 (en) 2010-09-10 2017-09-26 Tesla, Inc. Solar cell with electroplated metal grid
US8127979B1 (en) * 2010-09-25 2012-03-06 Intel Corporation Electrolytic depositon and via filling in coreless substrate processing
US9800053B2 (en) 2010-10-08 2017-10-24 Tesla, Inc. Solar panels with integrated cell-level MPPT devices
US8329021B2 (en) * 2010-10-28 2012-12-11 Palmaz Scientific, Inc. Method for mass transfer of micro-patterns onto medical devices
US9018100B2 (en) * 2010-11-10 2015-04-28 Western Digital (Fremont), Llc Damascene process using PVD sputter carbon film as CMP stop layer for forming a magnetic recording head
US8791501B1 (en) 2010-12-03 2014-07-29 Amkor Technology, Inc. Integrated passive device structure and method
JP5868155B2 (ja) * 2010-12-13 2016-02-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 半導体の電気化学エッチング
TW201227872A (en) * 2010-12-16 2012-07-01 Nat Applied Res Laboratories Metal wire structure and manufacturing method thereof
JP5644466B2 (ja) * 2010-12-17 2014-12-24 富士電機株式会社 半導体装置の製造方法
EP2655698B1 (en) * 2010-12-23 2019-05-15 Luxembourg Institute of Science and Technology Master electrode for ecpr and manufacturing methods thereof
EP2655701B1 (en) 2010-12-23 2018-08-29 Luxembourg Institute of Science and Technology (LIST) A method for providing an ecpr master electrode and an ecpr master electrode
EP2655699B1 (en) * 2010-12-23 2019-06-26 Luxembourg Institute of Science and Technology (LIST) An ecpr master electrode, and a method for providing such master electrode
EP2655700A1 (en) 2010-12-23 2013-10-30 Centre de Recherche Public - Gabriel Lippmann An ecpr master electrode and a method for providing such ecpr master electrode
WO2012108546A1 (ja) 2011-02-09 2012-08-16 大日本印刷株式会社 金めっき層を有するステンレス基板とステンレス基板への部分金めっきパターンの形成方法
CN102634829A (zh) * 2011-02-10 2012-08-15 林宏明 具有图案的网板模具及于工件上形成图案的方法
CN102168295B (zh) * 2011-02-15 2012-05-30 艾荻环境技术(上海)有限公司 具有选择性吸收功能的复合材料涂层
WO2012140262A1 (en) * 2011-04-15 2012-10-18 Cortec Gmbh Neural electrode and method for fabricating the same
CN103392127B (zh) * 2011-05-10 2016-05-04 松下健康医疗控股株式会社 生物体样本测定装置和使用其的生物体样本测定方法
US9054256B2 (en) 2011-06-02 2015-06-09 Solarcity Corporation Tunneling-junction solar cell with copper grid for concentrated photovoltaic application
ES2624739T3 (es) 2011-06-07 2017-07-17 Luxembourg Institute Of Science And Technology (List) Electrodo maestro ECPR y un procedimiento para proporcionar dicho electrodo maestro
CN102856277B (zh) * 2011-06-29 2015-03-11 中芯国际集成电路制造(上海)有限公司 石墨烯导电插塞及其形成方法
TW201308616A (zh) * 2011-08-03 2013-02-16 Motech Ind Inc 於基板上形成導電性圖案之方法
US8921165B2 (en) * 2011-08-03 2014-12-30 Cavendish Kinetics, Inc. Elimination of silicon residues from MEMS cavity floor
CN102629035A (zh) * 2011-09-29 2012-08-08 京东方科技集团股份有限公司 薄膜晶体管阵列基板及其制造方法
JP2013093412A (ja) * 2011-10-25 2013-05-16 Showa Denko Kk 発光ダイオード、発光ダイオードの製造方法、発光ダイオードランプ及び照明装置
US9263611B2 (en) * 2011-11-17 2016-02-16 Solar Junction Corporation Method for etching multi-layer epitaxial material
JP5504298B2 (ja) * 2012-02-22 2014-05-28 アオイ電子株式会社 振動発電素子およびその製造方法
RU2497230C1 (ru) * 2012-03-19 2013-10-27 Сергей Николаевич Максимовский Способ создания многослойной наноструктуры
JP5673592B2 (ja) * 2012-04-10 2015-02-18 Tdk株式会社 配線基板及びその製造方法
US20130316180A1 (en) * 2012-05-07 2013-11-28 Case Western Reserve University Biocompatible Packaging Suitable for Long-term Implantation and Method of Formation
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
AU2013326971B2 (en) 2012-10-04 2016-06-30 Tesla, Inc. Photovoltaic devices with electroplated metal grids
US9865754B2 (en) 2012-10-10 2018-01-09 Tesla, Inc. Hole collectors for silicon photovoltaic cells
JP5294287B1 (ja) * 2012-10-30 2013-09-18 株式会社Leap コイル素子の製造方法
CN104756211A (zh) * 2012-10-30 2015-07-01 株式会社Leap 使用树脂基板,通过电铸来制造线圈元件的方法
FR2999805B1 (fr) * 2012-12-17 2017-12-22 Commissariat Energie Atomique Procede de realisation d'un dispositif de detection infrarouge
US8846529B2 (en) 2013-01-10 2014-09-30 International Business Machines Corporation Electroless plating of cobalt alloys for on chip inductors
US9219174B2 (en) 2013-01-11 2015-12-22 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US10074755B2 (en) 2013-01-11 2018-09-11 Tesla, Inc. High efficiency solar panel
US9412884B2 (en) 2013-01-11 2016-08-09 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
ES2705199T3 (es) * 2013-01-17 2019-03-22 Atotech Deutschland Gmbh Contactos eléctricos galvanizados para módulos solares
KR101464860B1 (ko) * 2013-02-06 2014-11-24 인천대학교 산학협력단 알릴 알콜을 포함하는 금속 씨앗층 평탄제 및 이를 이용한 씨앗층의 형성방법
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8956975B2 (en) 2013-02-28 2015-02-17 International Business Machines Corporation Electroless plated material formed directly on metal
US20140252571A1 (en) * 2013-03-06 2014-09-11 Maxim Integrated Products, Inc. Wafer-level package mitigated undercut
US8816492B1 (en) * 2013-03-13 2014-08-26 Qualtre, Inc. Method and apparatus for isolating MEMS devices from external stimuli
US20140261661A1 (en) * 2013-03-13 2014-09-18 Gtat Corporation Free-standing metallic article with overplating
JP6198456B2 (ja) * 2013-05-20 2017-09-20 東京エレクトロン株式会社 基板の処理方法及びテンプレート
US9624595B2 (en) 2013-05-24 2017-04-18 Solarcity Corporation Electroplating apparatus with improved throughput
CN104233418A (zh) * 2013-06-21 2014-12-24 镇江江城金属制品有限公司 金属标牌的电镀方法
EP3017491B1 (en) 2013-07-03 2018-11-28 Sion Power Corporation Ceramic/polymer matrix for electrode protection in electrochemical cells, including rechargeable lithium batteries
US9126452B2 (en) * 2013-07-29 2015-09-08 Xerox Corporation Ultra-fine textured digital lithographic imaging plate and method of manufacture
CN103441079B (zh) * 2013-09-12 2015-10-28 江阴长电先进封装有限公司 一种晶圆级高密度布线制备方法
EP3578987A1 (en) 2013-09-18 2019-12-11 Quantum Biosystems Inc. Biomolecule sequencing devices, systems and methods
DE102013219342A1 (de) * 2013-09-26 2015-03-26 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Strukturierung von Schichten oxidierbarer Materialien mittels Oxidation sowie Substrat mit strukturierter Beschichtung
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
JP2015077652A (ja) 2013-10-16 2015-04-23 クオンタムバイオシステムズ株式会社 ナノギャップ電極およびその製造方法
CN103515353B (zh) * 2013-10-18 2016-08-31 上海华力微电子有限公司 一种光刻胶填充式金属互连结构及其制造方法
JP5735093B1 (ja) * 2013-12-24 2015-06-17 株式会社マテリアル・コンセプト 太陽電池及びその製造方法
US9791470B2 (en) * 2013-12-27 2017-10-17 Intel Corporation Magnet placement for integrated sensor packages
US10315399B2 (en) * 2013-12-31 2019-06-11 Entrotech, Inc. Methods for application of polymeric film and related assemblies
KR101566851B1 (ko) 2013-12-31 2015-11-06 (재)한국나노기술원 전기도금 공정을 이용한 자기제어 이황화몰리브덴 단일층의 합성 방법 및 이에 의해 제조된 자기제어 이황화몰리브덴 단일층을 이용한 트랜지스터
CN103767704B (zh) * 2014-01-20 2015-08-26 上海交通大学 一种用于脑电测量的准干电极及其制备方法
KR102316170B1 (ko) 2014-02-19 2021-10-21 시온 파워 코퍼레이션 전해질-억제 이온 전도체를 포함하는 복합체를 사용한 전극 보호
US10490796B2 (en) 2014-02-19 2019-11-26 Sion Power Corporation Electrode protection using electrolyte-inhibiting ion conductor
EP2918707B1 (en) 2014-03-12 2019-05-22 Rolls-Royce North American Technologies, Inc. Anisotropic etching of metallic substrates
CN106164243B (zh) * 2014-03-31 2018-04-27 松下知识产权经营株式会社 电化学测定器件
US10438811B1 (en) 2014-04-15 2019-10-08 Quantum Biosystems Inc. Methods for forming nano-gap electrodes for use in nanosensors
TWI543685B (zh) * 2014-04-28 2016-07-21 旭德科技股份有限公司 基板結構及其製作方法
WO2015170782A1 (en) 2014-05-08 2015-11-12 Osaka University Devices, systems and methods for linearization of polymers
FR3022070B1 (fr) * 2014-06-04 2016-06-24 Univ D'aix-Marseille Procede de texturation aleatoire d'un substrat semiconducteur
US10309012B2 (en) 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
CN105319241A (zh) * 2014-07-04 2016-02-10 中国科学院苏州纳米技术与纳米仿生研究所 柔性气敏传感器及其制备方法
CN104152979B (zh) * 2014-09-04 2017-02-01 蒙家革 一种电解蚀刻头和数控电解蚀刻***及蚀刻方法
CN104287698B (zh) * 2014-09-16 2016-04-06 苏州能斯达电子科技有限公司 用于颈部脉搏检测的柔性可贴附传感器及其制备方法
EP3197612A4 (en) 2014-09-23 2018-05-23 General Cable Technologies Corporation Electrodeposition mediums for formation of protective coatings electrochemically deposited on metal substrates
TWI561462B (en) * 2014-10-07 2016-12-11 Iner Aec Executive Yuan A method for forming dendritic silver with periodic structure as light-trapping layer
CN104388994B (zh) * 2014-10-09 2017-10-24 中国电子科技集团公司第五十五研究所 减小电镀层图形失真的方法
US9899546B2 (en) 2014-12-05 2018-02-20 Tesla, Inc. Photovoltaic cells with electrodes adapted to house conductive paste
CN104538496B (zh) * 2014-12-26 2018-01-12 新奥光伏能源有限公司 一种高效硅异质结太阳能电池电镀电极制备方法
US10745820B2 (en) * 2014-12-31 2020-08-18 Essilor International Method of mirror coating an optical article and article thereby obtained
US9947822B2 (en) 2015-02-02 2018-04-17 Tesla, Inc. Bifacial photovoltaic module using heterojunction solar cells
US9617648B2 (en) * 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
LU92716B1 (en) 2015-05-13 2017-03-02 Luxembourg Inst Science & Tech List Method of forming local nono/micro size structures of anodized metal
JP6437387B2 (ja) * 2015-05-25 2018-12-12 東芝メモリ株式会社 基板平坦化方法
KR20180022847A (ko) * 2015-06-30 2018-03-06 쓰리엠 이노베이티브 프로퍼티즈 캄파니 비아를 포함하는 전자 디바이스 및 그러한 전자 디바이스를 형성하는 방법
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9633971B2 (en) 2015-07-10 2017-04-25 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
DE102015213259A1 (de) * 2015-07-15 2017-01-19 Schaeffler Technologies AG & Co. KG Kunststoffbauteil sowie Verfahren zur Herstellung eines Kunststoffbauteils
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US9842956B2 (en) 2015-12-21 2017-12-12 Tesla, Inc. System and method for mass-production of high-efficiency photovoltaic structures
DE102015226196A1 (de) * 2015-12-21 2017-06-22 Robert Bosch Gmbh Mobile Funktionsvorrichtung
WO2017115819A1 (ja) * 2015-12-28 2017-07-06 信越化学工業株式会社 積層体の製造方法
US9496429B1 (en) 2015-12-30 2016-11-15 Solarcity Corporation System and method for tin plating metal electrodes
CN108701587B (zh) * 2016-01-28 2023-04-21 东京毅力科创株式会社 旋涂沉积金属氧化物的方法
CN105789218A (zh) * 2016-03-10 2016-07-20 京东方科技集团股份有限公司 一种基板、其制作方法及显示装置
US10115838B2 (en) 2016-04-19 2018-10-30 Tesla, Inc. Photovoltaic structures with interlocking busbars
CN105789479B (zh) * 2016-04-22 2018-09-07 京东方科技集团股份有限公司 Oled及其制备方法、以及oled显示装置
CN109312384B (zh) 2016-06-15 2022-12-30 伊士曼化工公司 物理气相沉积的生物传感器组件
EP3266738B1 (fr) * 2016-07-06 2019-03-06 The Swatch Group Research and Development Ltd. Procédé de fabrication d'une pièce d'horlogerie dotée d'un élément d'habillage multi-niveaux
GB2553154B (en) * 2016-08-22 2019-11-20 Cirrus Logic Int Semiconductor Ltd MEMS device
CN109689880B (zh) 2016-09-16 2022-12-13 伊士曼化工公司 通过物理气相沉积制备的生物传感器电极
WO2018052711A1 (en) 2016-09-16 2018-03-22 Eastman Chemical Company Biosensor electrodes prepared by physical vapor deposition
US10157792B2 (en) * 2016-10-27 2018-12-18 Nxp Usa, Inc. Through substrate via (TSV) and method therefor
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
KR102299950B1 (ko) 2017-01-05 2021-09-08 주식회사 엘지에너지솔루션 소수성 표면처리를 이용한 배터리 모듈 및 제작방법
CN110178181B (zh) 2017-01-23 2021-06-08 日东电工株式会社 布线电路基板及其制造方法
US20180207725A1 (en) * 2017-01-23 2018-07-26 The Chinese University Of Hong Kong System and method for fabricating 3d metal structure
US10570506B2 (en) * 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10739299B2 (en) * 2017-03-14 2020-08-11 Roche Sequencing Solutions, Inc. Nanopore well structures and methods
US11313040B2 (en) * 2017-03-24 2022-04-26 Embraco Indústria De Compressores E Soluçôes Em Refrigeraçâo Ltda. Plasma-assisted process of ceramization of polymer precursor on surface, surface comprising ceramic polymer
CN107177866B (zh) * 2017-04-28 2019-03-05 大连理工大学 金属基底上制备微射频t形功分器的方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018236572A1 (en) 2017-06-22 2018-12-27 Eastman Chemical Company PHYSICAL DEPOSITION ELECTRODE IN VAPOR PHASE FOR ELECTROCHEMICAL SENSORS
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN109066540A (zh) * 2018-06-06 2018-12-21 荣马电器有限公司 一种安全供电滑触母线
CN108668452A (zh) * 2018-06-12 2018-10-16 江苏博敏电子有限公司 一种pcb精细线路电解蚀刻与铜回收关联技术
TWI663396B (zh) * 2018-06-29 2019-06-21 昇陽國際半導體股份有限公司 電化學感測器之工作電極製作方法及其產品
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
LU100919B1 (en) 2018-08-27 2020-02-27 Luxembourg Inst Science & Tech List Metal-CNT composite, production method and materials therefor
CN109461844B (zh) * 2018-10-09 2020-02-18 深圳市华星光电技术有限公司 柔性基板的制造方法
CN109604126B (zh) * 2018-10-31 2021-12-10 博罗县东明化工有限公司 铝合金喷涂前处理方法
US11028012B2 (en) 2018-10-31 2021-06-08 Cardinal Cg Company Low solar heat gain coatings, laminated glass assemblies, and methods of producing same
WO2020101180A1 (ko) * 2018-11-13 2020-05-22 주식회사 애니캐스팅 돌출전극부가 배열된 다중배열전극 및 이의 제조 방법, 다중배열전극을 이용한 유기 증착 마스크 제조 방법
KR102075064B1 (ko) * 2018-11-13 2020-02-07 (주)애니캐스팅 돌출전극부가 배열된 다중배열전극 및 이를 제조하는 방법
US10734338B2 (en) * 2018-11-23 2020-08-04 Nanya Technology Corporation Bonding pad, semiconductor structure, and method of manufacturing semiconductor structure
CN109742049A (zh) * 2018-11-23 2019-05-10 苏州迈为科技股份有限公司 一种电池片对位方法及激光装置
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111326477B (zh) * 2018-12-14 2022-12-09 中芯集成电路(宁波)有限公司 电镀方法
CN109587945B (zh) * 2018-12-26 2024-03-01 珠海超群电子科技有限公司 一种fpc板及其制作工艺
TWI675201B (zh) * 2019-01-23 2019-10-21 昇陽國際半導體股份有限公司 電化學感測器之工作電極製作方法及其產品
CN110629262B (zh) * 2019-08-20 2020-11-27 北京大学 一种表面等离激元超材料的制备方法
EP3786722A1 (fr) 2019-08-27 2021-03-03 Comadur S.A. Procede de decoration d'une piece mecanique
CN110510889B (zh) * 2019-09-12 2021-11-16 上海理工大学 一种氮氧化钛薄膜及其基于激光剥离技术的制备方法
CN110933540A (zh) * 2019-12-09 2020-03-27 西安鼎蓝通信技术有限公司 一种适用于音响的降噪密封圈及其制备方法
CN110938841A (zh) * 2019-12-19 2020-03-31 新邵辰州锑业有限责任公司 新型板状石墨阳极
CN111063851B (zh) * 2019-12-30 2022-02-18 江苏厚生新能源科技有限公司 一种图案分布式涂胶隔膜的制备方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210388521A1 (en) * 2020-06-15 2021-12-16 Arizona Board Of Regents On Behalf Of Arizona State University Localized electrochemical deposition
US20220235481A1 (en) * 2021-01-26 2022-07-28 Seagate Technology Llc Selective screen electroplating
DE102021109185A1 (de) 2021-04-13 2022-10-13 Gülnur Akbulut Brandes Vorrichtung mit einer leitfähigen Beschichtung zum Transport von elektronischen Bauteilen und Verfahren zu deren Herstellung
CN113735055A (zh) * 2021-07-21 2021-12-03 绍兴中芯集成电路制造股份有限公司 一种mems器件制造方法及mems器件

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5612153A (en) * 1995-04-13 1997-03-18 Valence Technology, Inc. Battery mask from radiation curable and thermoplastic materials
US6027630A (en) * 1997-04-04 2000-02-22 University Of Southern California Method for electrochemical fabrication
US6355147B1 (en) * 1999-12-10 2002-03-12 Sandia Corporation Porous electrode apparatus for electrodeposition of detailed metal structures or microelectronic interconnections
US20040029041A1 (en) * 2002-02-27 2004-02-12 Brewer Science, Inc. Novel planarization method for multi-layer lithography processing
US20040099534A1 (en) * 2002-11-27 2004-05-27 James Powers Method and apparatus for electroplating a semiconductor wafer
US20040129573A1 (en) * 2002-10-01 2004-07-08 University Of Southern California Multi-cell masks and methods and apparatus for using such masks to form three-dimensional structures
US20040154828A1 (en) * 2001-06-15 2004-08-12 Patrick Moller Method and electrode for defining and replicating structures in conducting materials
US20050023148A1 (en) * 2003-05-07 2005-02-03 Microfabrica Inc. Methods for electrochemically fabricating structures using adhered masks, incorporating dielectric sheets, and/or seed layers that are partially removed via planarization
US20050023144A1 (en) * 2002-05-07 2005-02-03 Microfabrica Inc. Methods for electrochemically fabricating multi-layer structures including regions incorporating maskless, patterned, multiple layer thickness depositions of selected materials
US20050032362A1 (en) * 2003-05-07 2005-02-10 Microfabrica Inc. Electrochemical fabrication methods including use of surface treatments to reduce overplating and/or planarization during formation of multi-layer three-dimensional structures
US6875322B1 (en) * 2003-01-15 2005-04-05 Lam Research Corporation Electrochemical assisted CMP
US20050127479A1 (en) * 2003-12-09 2005-06-16 Uri Cohen Interconnect structures and methods for their fabrication
US20050202180A1 (en) * 2003-12-31 2005-09-15 Microfabrica Inc. Electrochemical fabrication methods for producing multilayer structures including the use of diamond machining in the planarization of deposits of material
US20050215046A1 (en) * 2003-06-27 2005-09-29 Cohen Adam L Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US20060105566A1 (en) * 2004-11-12 2006-05-18 Carlo Waldfried Ultraviolet assisted pore sealing of porous low k dielectric films

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3745094A (en) * 1971-03-26 1973-07-10 Ibm Two resist method for printed circuit structure
JPS5456619U (ko) * 1977-09-28 1979-04-19
US4158612A (en) * 1977-12-27 1979-06-19 The International Nickel Company, Inc. Polymeric mandrel for electroforming and method of electroforming
JPS62196392A (ja) * 1986-02-25 1987-08-29 Nippon Steel Corp 鋼帯巾方向ストライプメツキロ−ル給電方法
JPH0685464A (ja) * 1992-09-02 1994-03-25 Hitachi Ltd 多層配線基板の製造方法
JP3444090B2 (ja) * 1996-04-22 2003-09-08 日清紡績株式会社 プラズマ処理装置用保護部材
JPH10256251A (ja) * 1997-03-13 1998-09-25 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
EP1060299A1 (en) * 1998-03-05 2000-12-20 Obducat AB Method of etching
JPH11284066A (ja) * 1998-03-26 1999-10-15 Ricoh Co Ltd 半導体装置およびその製造方法
DE19935558B4 (de) * 1999-07-30 2010-11-25 Nawotec Gmbh Verfahren zur Erzeugung von Strukturen in einem Substrat im Nanometerbereich
KR20020092444A (ko) * 2001-02-23 2002-12-11 가부시키 가이샤 에바라 세이사꾸쇼 구리-도금 용액, 도금 방법 및 도금 장치
US6593224B1 (en) * 2002-03-05 2003-07-15 Bridge Semiconductor Corporation Method of manufacturing a multilayer interconnect substrate
KR20050016867A (ko) * 2002-05-07 2005-02-21 마이크로패브리카 인크. 전기화학적 제조 공정
JP4434013B2 (ja) * 2002-05-07 2010-03-17 ユニバーシティ オブ サザン カリフォルニア 適合接触マスクめっきを用いてめっき工程を行っている際に堆積の品質を測定する方法および装置
US6884692B2 (en) * 2002-08-29 2005-04-26 Micron Technology, Inc. Method for forming conductive material in opening and structures regarding same
JP3787630B2 (ja) * 2003-02-14 2006-06-21 独立行政法人情報通信研究機構 ナノギャップ電極の製造方法
US7189146B2 (en) * 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
US20060249391A1 (en) * 2003-04-09 2006-11-09 Sungho Jin High resolution electrolytic lithography, apparatus therefor and resulting products
TW200504928A (en) * 2003-06-20 2005-02-01 Matsushita Electric Ind Co Ltd Manufacturing method of semiconductor device
US7838868B2 (en) * 2005-01-20 2010-11-23 Nanosolar, Inc. Optoelectronic architecture having compound conducting substrate
JP2007081113A (ja) * 2005-09-14 2007-03-29 Sony Corp 半導体装置の製造方法
JP2009516388A (ja) * 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5612153A (en) * 1995-04-13 1997-03-18 Valence Technology, Inc. Battery mask from radiation curable and thermoplastic materials
US6027630A (en) * 1997-04-04 2000-02-22 University Of Southern California Method for electrochemical fabrication
US6355147B1 (en) * 1999-12-10 2002-03-12 Sandia Corporation Porous electrode apparatus for electrodeposition of detailed metal structures or microelectronic interconnections
US20040154828A1 (en) * 2001-06-15 2004-08-12 Patrick Moller Method and electrode for defining and replicating structures in conducting materials
US20040029041A1 (en) * 2002-02-27 2004-02-12 Brewer Science, Inc. Novel planarization method for multi-layer lithography processing
US20050023144A1 (en) * 2002-05-07 2005-02-03 Microfabrica Inc. Methods for electrochemically fabricating multi-layer structures including regions incorporating maskless, patterned, multiple layer thickness depositions of selected materials
US20040129573A1 (en) * 2002-10-01 2004-07-08 University Of Southern California Multi-cell masks and methods and apparatus for using such masks to form three-dimensional structures
US20040099534A1 (en) * 2002-11-27 2004-05-27 James Powers Method and apparatus for electroplating a semiconductor wafer
US6875322B1 (en) * 2003-01-15 2005-04-05 Lam Research Corporation Electrochemical assisted CMP
US20050023148A1 (en) * 2003-05-07 2005-02-03 Microfabrica Inc. Methods for electrochemically fabricating structures using adhered masks, incorporating dielectric sheets, and/or seed layers that are partially removed via planarization
US20050032362A1 (en) * 2003-05-07 2005-02-10 Microfabrica Inc. Electrochemical fabrication methods including use of surface treatments to reduce overplating and/or planarization during formation of multi-layer three-dimensional structures
US20050215046A1 (en) * 2003-06-27 2005-09-29 Cohen Adam L Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US20050127479A1 (en) * 2003-12-09 2005-06-16 Uri Cohen Interconnect structures and methods for their fabrication
US20050202180A1 (en) * 2003-12-31 2005-09-15 Microfabrica Inc. Electrochemical fabrication methods for producing multilayer structures including the use of diamond machining in the planarization of deposits of material
US20060105566A1 (en) * 2004-11-12 2006-05-18 Carlo Waldfried Ultraviolet assisted pore sealing of porous low k dielectric films

Cited By (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100006957A1 (en) * 2008-06-06 2010-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Microscopic structure packaging method and device with packaged microscopic structure
US8273653B2 (en) * 2008-06-06 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Microscopic structure packaging method and device with packaged microscopic structure
US8598031B2 (en) * 2009-09-28 2013-12-03 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
US20110074039A1 (en) * 2009-09-28 2011-03-31 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect for semiconductor device
US9054107B2 (en) 2009-09-28 2015-06-09 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
US9637373B2 (en) 2010-06-25 2017-05-02 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9624099B2 (en) 2010-06-25 2017-04-18 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9828243B2 (en) 2010-06-25 2017-11-28 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
CN102906010A (zh) * 2010-06-25 2013-01-30 国际商业机器公司 平面腔体微机电***及相关结构、制造和设计结构的方法
US9862598B2 (en) 2010-06-25 2018-01-09 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8458888B2 (en) 2010-06-25 2013-06-11 International Business Machines Corporation Method of manufacturing a micro-electro-mechanical system (MEMS)
US11174160B2 (en) 2010-06-25 2021-11-16 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8685778B2 (en) 2010-06-25 2014-04-01 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8709264B2 (en) 2010-06-25 2014-04-29 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8722445B2 (en) 2010-06-25 2014-05-13 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US11111138B2 (en) 2010-06-25 2021-09-07 International Business Machines Corporation Planar cavity mems and related structures, methods of manufacture and design structures
US8865497B2 (en) 2010-06-25 2014-10-21 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8921144B2 (en) 2010-06-25 2014-12-30 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
GB2494359B (en) * 2010-06-25 2015-01-14 Ibm Planar cavity MEMS and related structures, methods of manufacture and design structures
US8956903B2 (en) 2010-06-25 2015-02-17 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9041128B2 (en) 2010-06-25 2015-05-26 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
WO2011162950A3 (en) * 2010-06-25 2012-05-24 International Business Machine Corporation Planar cavity mems and related structures, methods of manufacture and design structures
US11111139B2 (en) 2010-06-25 2021-09-07 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9330856B2 (en) 2010-06-25 2016-05-03 International Business Machines Corporation Methods of manufacture for micro-electro-mechanical system (MEMS)
US9352954B2 (en) 2010-06-25 2016-05-31 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9406472B2 (en) 2010-06-25 2016-08-02 Globalfoundries Inc. Planar cavity MEMS and related structures, methods of manufacture and design structures
US9493341B2 (en) 2010-06-25 2016-11-15 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9493343B2 (en) 2010-06-25 2016-11-15 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US11104572B2 (en) 2010-06-25 2021-08-31 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9890039B2 (en) 2010-06-25 2018-02-13 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
WO2011162950A2 (en) * 2010-06-25 2011-12-29 International Business Machine Corporation Planar cavity mems and related structures, methods of manufacture and design structures
US9764944B2 (en) 2010-06-25 2017-09-19 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9815690B2 (en) 2010-06-25 2017-11-14 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US11021364B2 (en) 2010-06-25 2021-06-01 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
GB2494359A (en) * 2010-06-25 2013-03-06 Ibm Planar cavity MEMS and related structures, methods of manufacture and design structures
US10906803B2 (en) 2010-06-25 2021-02-02 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9926191B2 (en) 2010-06-25 2018-03-27 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US9932225B2 (en) 2010-06-25 2018-04-03 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10005661B2 (en) 2010-06-25 2018-06-26 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10011477B2 (en) 2010-06-25 2018-07-03 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10011480B2 (en) 2010-06-25 2018-07-03 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10081540B2 (en) 2010-06-25 2018-09-25 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10093537B2 (en) 2010-06-25 2018-10-09 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10173889B2 (en) 2010-06-25 2019-01-08 Globalfoundries Inc. Planar cavity MEMS and related structures, methods of manufacture and design structures
US10214416B2 (en) 2010-06-25 2019-02-26 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10246319B2 (en) 2010-06-25 2019-04-02 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10308501B2 (en) 2010-06-25 2019-06-04 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10315913B2 (en) 2010-06-25 2019-06-11 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10414646B2 (en) 2010-06-25 2019-09-17 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10584026B2 (en) 2010-06-25 2020-03-10 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10618803B2 (en) 2010-06-25 2020-04-14 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10618802B2 (en) 2010-06-25 2020-04-14 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10640364B2 (en) 2010-06-25 2020-05-05 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10640365B2 (en) 2010-06-25 2020-05-05 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US10766765B2 (en) 2010-06-25 2020-09-08 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
WO2012097092A3 (en) * 2011-01-13 2013-01-24 Tamarack Scientific Co. Inc. Laser removal of conductive seed layers
US9132511B2 (en) 2011-01-13 2015-09-15 Suss Microtec Photonic Systems, Inc. Laser removal of conductive seed layers
US8778799B2 (en) 2011-01-13 2014-07-15 Tamarack Scientific Co. Inc. Laser removal of conductive seed layers
US20120329224A1 (en) * 2011-06-23 2012-12-27 Samsung Electronics Co., Ltd. Method of forming fine pattern and method of manufacturing semiconductor device
CN102766892A (zh) * 2012-08-10 2012-11-07 重庆绿色智能技术研究院 微纳加工方法和设备
US9598784B2 (en) 2013-08-02 2017-03-21 Omron Corporation Electroformed component production method
US10910232B2 (en) 2017-09-29 2021-02-02 Samsung Display Co., Ltd. Copper plasma etching method and manufacturing method of display panel
US20230071739A1 (en) * 2021-09-02 2023-03-09 Metaly S.R.L. Method of decorating pvd coated surfaces and decorated surfaces obtained

Also Published As

Publication number Publication date
US9441309B2 (en) 2016-09-13
EP1948852B1 (en) 2018-08-29
US20090205967A1 (en) 2009-08-20
KR101424824B1 (ko) 2014-08-01
JP2009516081A (ja) 2009-04-16
CN101360849B (zh) 2013-05-15
JP2009516080A (ja) 2009-04-16
JP2009516388A (ja) 2009-04-16
EP2049710A4 (en) 2012-07-04
JP5249040B2 (ja) 2013-07-31
US20140110265A1 (en) 2014-04-24
US8617362B2 (en) 2013-12-31
CN101360850A (zh) 2009-02-04
WO2007058604A1 (en) 2007-05-24
DK1948850T3 (da) 2019-07-29
CN101360850B (zh) 2011-08-31
EP1948852A4 (en) 2016-10-12
KR20080100807A (ko) 2008-11-19
KR101334506B1 (ko) 2013-12-02
KR101486587B1 (ko) 2015-01-26
KR20080100808A (ko) 2008-11-19
WO2007058605A1 (en) 2007-05-24
KR20080099233A (ko) 2008-11-12
US20120305390A1 (en) 2012-12-06
US20090183992A1 (en) 2009-07-23
US20090229855A1 (en) 2009-09-17
CN101360851A (zh) 2009-02-04
US20120267241A1 (en) 2012-10-25
EP1948852A1 (en) 2008-07-30
EP1948850A1 (en) 2008-07-30
DK1948852T3 (da) 2019-01-02
US20090071837A1 (en) 2009-03-19
CN101360849A (zh) 2009-02-04
US20090229856A1 (en) 2009-09-17
EP1948850B1 (en) 2019-04-17
CN101360851B (zh) 2011-09-21
US20090229857A1 (en) 2009-09-17
US20120279866A1 (en) 2012-11-08
EP2049710A1 (en) 2009-04-22
EP1948850A4 (en) 2012-07-04
WO2007058603A1 (en) 2007-05-24
US20090229854A1 (en) 2009-09-17

Similar Documents

Publication Publication Date Title
EP1948850B1 (en) Method of forming a multilayer structure
US6355153B1 (en) Chip interconnect and packaging deposition methods and structures
US8197662B1 (en) Deposit morphology of electroplated copper
US6555477B1 (en) Method for preventing Cu CMP corrosion
KR20030091967A (ko) 반도체 기판상의 구리 막을 연마하는 전기 화학적 방법
US7125803B2 (en) Reverse tone mask method for post-CMP elimination of copper overburden
US6638868B1 (en) Method for preventing or reducing anodic Cu corrosion during CMP
US20070151859A1 (en) Method of forming copper interconnections in semiconductor devices
US20030168345A1 (en) In-situ monitor seed for copper plating

Legal Events

Date Code Title Description
AS Assignment

Owner name: REPLISAURUS TECHNOLOGIES AB, SWEDEN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FREDENBERG, MIKAEL;MOLLER, PATRIK;WIWEN-NILSSON, PETER;REEL/FRAME:022367/0909;SIGNING DATES FROM 20080714 TO 20080807

AS Assignment

Owner name: REPLISAURUS GROUP SAS, FRANCE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:REPLISAURUS TECHNOLOGIES AB;REPLISAURUS TECHNOLOGIES INC;REEL/FRAME:025471/0532

Effective date: 20101104

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION