CN108701587B - 旋涂沉积金属氧化物的方法 - Google Patents

旋涂沉积金属氧化物的方法 Download PDF

Info

Publication number
CN108701587B
CN108701587B CN201780013847.5A CN201780013847A CN108701587B CN 108701587 B CN108701587 B CN 108701587B CN 201780013847 A CN201780013847 A CN 201780013847A CN 108701587 B CN108701587 B CN 108701587B
Authority
CN
China
Prior art keywords
surface energy
sidewall
relief pattern
substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780013847.5A
Other languages
English (en)
Other versions
CN108701587A (zh
Inventor
尼哈尔·莫汉蒂
利奥尔·胡利
杰弗里·史密斯
理查德·法雷尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN108701587A publication Critical patent/CN108701587A/zh
Application granted granted Critical
Publication of CN108701587B publication Critical patent/CN108701587B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文的技术提供了用于沉积旋涂金属材料的方法以创建在沉积物中没有空隙的金属硬掩模(MHM)结构。这包括有效旋涂沉积TiOx、ZrOx、SnOx、HFOx、TaOx等。这样的材料可有助于提供材料蚀刻耐性的差异以进行差异化。通过使旋涂金属硬掩模(MHM)能够与多线层一起使用,可以有效地使用基于狭缝的或自对准阻挡的策略。本文的技术包括确定填充给定浮雕图案中的特定开口的填充材料,改变开口内的表面的表面能值使得液体形式的填充材料与侧壁或底表面之间的界面的接触角值能够实现无间隙或无空隙的填充。

Description

旋涂沉积金属氧化物的方法
相关申请的交叉引用
本申请要求于2016年1月28日提交的题为“旋涂沉积金属氧化物的方法(Methodsof Spin-on Deposition of Metal Oxides)”的美国临时专利申请第62/288,253号的权益,其通过引用整体并入本文。
背景技术
本文的技术涉及半导体晶片的缩放(scaling)和图案化。
微影(lithographic)工艺中收缩线宽的方法以前涉及使用较大NA(numericalaperture,数值孔径)的光学器件、较短的曝光波长或除空气之外的界面介质(例如,水浸)。随着常规微影工艺的分辨率接近理论极限,制造商已经开始转向双重图案化(DP)方法以克服光学极限。
在材料处理方法(例如,光刻)中,创建图案化层包括向基底的上表面施加辐射敏感材料例如光致抗蚀剂的薄层。该辐射敏感材料被转换成浮雕图案,所述浮雕图案可以用作将图案转移至基底上的下层中的蚀刻掩模。辐射敏感材料的图案化通常涉及使用例如光刻***使光化辐射穿过中间掩模(和相关的光学器件)暴露在辐射敏感材料上。然后可以在该曝光之后根据所使用的显影溶剂去除辐射敏感材料的被辐射区域(如在正性光致抗蚀剂的情况下)或未被辐射区域(如在负性抗蚀剂的情况下)。该掩模层可以包括多个子层。
用于将辐射或光的图案暴露在基底上的常规微影技术具有限制所暴露的特征的尺寸以及限制所暴露的特征之间的节距或间隔的各种挑战。减轻暴露限制的一种常规技术是:使用双重图案化方法以允许以比当前用常规微影技术可以实现的更小的节距对较小的特征进行图案化。
发明内容
为了保持节距缩放,N7(节点7)以上的后段制程(back end of line,BEOL)沟槽图案化必须实现亚36nm的节距图案化。虽然使这种相对小的节距图案化可能是有挑战性的,但是其可以通过多种方法来实现,包括EUV 13.5nm微影、自对准双重图案化(SADP)、193nm自对准四重图案化(SAQP)或通过定向自组装(DSA)。一种在沟槽图案化过程中有用的技术是区域选择性阻挡工艺,其中相对较长的线被切割成链或进行线端切割等以形成最终期望的沟槽布局。随着节距变小,这种阻挡图案的叠加要求超出了微影工具的能力。这是在BEOL制造中继续进行节距缩放所要克服的主要挑战。
自对准阻挡(self-aligned blocking,SAB)技术可以使得能够克服以较小节点进行的图案化挑战。SAB的构思是将难控制的叠加要求交换为具有更易控制的蚀刻选择性挑战的阻挡图案的叠加。例如,多线层由不同材料的交替线形成。这些材料的不同之处在于可以选择性地蚀刻这些材料中的一者或更多者而不蚀刻其余材料。当在该多线层上形成蚀刻掩模并且该蚀刻掩模具有相对较大的露出来自多线层的多条材料线的开口时,调整给定的蚀刻工艺从而以这些材料中的一者为目标意味着蚀刻掩模开口实质上进一步变窄,使得蚀刻掩模开口与多线层(其中至少一种材料被去除)的组合有效地创建用于将图案转移至下层中的组合的亚分辨率蚀刻掩模。如果与旋涂金属硬掩模(MHM)材料一起使用,则这样的图案化可以特别有用。
本文的技术提供了用于沉积旋涂金属材料的方法以创建金属硬掩模(MHM)结构。这包括有效旋涂沉积TiOx、ZrOx、SnOx、HFOx、TaOx等。这样的材料可有助于提供蚀刻耐性的差异,其被称为提供多线层的不同“颜色”。通过使旋涂金属硬掩模(MHM)能够与多线层一起使用,在给定图案上实现了多种颜色分解,这实现了基于狭缝的或自对准阻挡的策略。本文的技术包括确定填充给定浮雕图案中的特定开口的填充材料,改变表面能值使得液体形式的填充材料与侧壁表面之间的界面的接触角值能够实现无间隙填充。
当然,为了清楚起见,已经提出了对如本文中描述的不同步骤的讨论顺序。通常,这些步骤可以以任意合适的顺序进行。此外,虽然本文中不同特征、技术、配置等各自可以在本公开的不同位置进行讨论,但是其旨在可以彼此独立地或彼此组合地执行每种构思。因此,本发明可以以许多不同的方式实施和考虑。
注意,该发明内容部分没有详细说明本公开或要求保护的发明的每个实施方案和/或增加的新方面。相反,该发明内容仅提供了不同实施方案和相对于常规技术的对应的新颖之处的初步讨论。对于本发明和实施方案的另外细节和/或可能的观点,读者参考如下进一步讨论的本公开的具体实施方式部分和相应的附图。
附图说明
参照结合附图考虑的以下具体实施方式,本发明的多个实施方案及其许多附带优点的更全面的理解将容易变得明显。附图未必按比例绘制,而是着重于示出特征、原理和概念上。
图1是示出根据本文公开的实施方案的工艺流程的示例性基底部分(substratesegment)的截面示意图。
图2是示出根据本文公开的实施方案的表面改变的示例性基底部分的截面示意图。
图3是示出根据本文公开的实施方案的工艺流程的示例性基底部分的截面示意图。
图4是示出填充材料中的空隙的基底部分的放大图像。
图5是示出根据本文的技术的无空隙填充的基底部分的放大图像。
具体实施方式
本文的技术提供了用于沉积旋涂金属材料的方法以创建金属硬掩模(MHM)结构。这包括有效旋涂沉积TiOx、ZrOx、SnOx、HFOx、TaOx等。这样的材料可有助于提供蚀刻耐性的差异,其被称为提供多线层的不同“颜色”。通过使旋涂金属硬掩模(MHM)能够与多线层一起使用,在给定图案上实现多种颜色分解,这实现了基于狭缝的或自对准阻挡的策略。
在该旋涂金属氧化物(MeOx)集成期间的挑战是间隙填充。在纵横比达到5:1至6:1的SAQP图案中填充亚18nm空间的间隙是相当有挑战性的。然而,本文的技术解决了先进节点窄节距后段制程(BEOL)沟槽图案化中的一些挑战。
本文的技术解决了旋涂MeOx材料的间隙填充问题。通过使其中沉积有MeOx材料的溶剂体系的表面能与沟槽/开口侧壁和/或底(floor)的表面能相匹配,实现了沟槽的无空隙填充。本文的技术包括数种方法,通过这些方法可以改善侧壁和/或底的表面能。这样的方法包括表面处理,例如用包含氧、氮、氢和氟的气体进行的蚀刻后处理。另一种处理包括用稀氢氟酸(dHF)、过氧化硫(sulphuric peroxide,SPN)等进行的蚀刻后湿式清洗。另一种处理包括在涂布/显影***中用溶剂如异丙醇、去离子水、四甲基氢氧化铵(TMAH)、六甲基二硅氮烷(HMDS)等进行的预施加处理。另一种选项是例如通过原子层沉积(ALD)、化学气相沉积(CVD)、直流叠加等来形成共形膜。
旋涂MeOx(金属氧化物)材料的不当的空隙填充性能的一个原因是沟槽表面(和/或底表面)与旋涂MeOx***的表面能之间不匹配。例如,如果旋涂MeOx材料是亲水性的并且如果沟槽(包括侧壁和底)的表面是疏水性的,则亲水性材料将不会完全润湿沟槽,因此在烘烤过程期间将产生空隙。
如本文所公开的,仔细匹配表面能能够实现旋涂MeOx材料的无空隙间隙填充。存在许多替代的实施方案。一些包括在任意等离子体蚀刻室或其他处理室中使用单一气体或气体混合物的蚀刻后处理,使用特定化学制剂的蚀刻后湿式清洗,以及在轨道工具(涂布/显影工具)中使用特定溶剂的预施加处理。因此,本文的技术能够实现数种旋涂MeOx材料的无空隙和无缺陷间隙填充。例如,这样的填充技术能够实现5nm BEOL沟槽图案化的自对准阻挡(SAB)集成。
图4示出了常规技术的间隙填充问题的实例。图4是具有由金属氧化物材料207填充的开口的基底部分的放大图像。然而,应注意金属氧化物材料207的沉积在由金属氧化物填充的开口底部产生空隙211。
本文的技术包括用于在基底上沉积材料的方法。接收或以其他方式提供具有浮雕图案的基底。浮雕图案限定了露出下层的开口。浮雕图案提供了限定开口的侧壁表面。下层提供了限定开口的底表面。换言之,浮雕图案具有延伸至下层的沟槽或孔或其他开口。这些沟槽或开口具有侧表面和底部表面。浮雕图案提供了侧表面,而下层的顶表面用作给定孔或沟槽的底表面或底部表面。在一些实施方案中,浮雕图案可以限定临界尺寸小于40纳米的开口。
侧壁表面具有第一表面能值,而底表面具有第二表面能值。每种材料或表面的这些表面能值可以根据所使用的特定材料而不同或相同。图1示出了限定开口的示例性基底部分。注意,该基底部分包括浮雕图案150和下层135。浮雕图案150包括两种不同材料的线。字母“A”和“B”出现在这些材料之上。字母“C”出现在浮雕图案中的开口之上。作为非限制性实例,用字母C表示的开口可以是待填充含金属的材料的沟槽。
确定或以其他方式选择填充材料,以通过经由旋涂沉积而沉积在基底上来填充限定的开口。填充材料可以包括金属氧化物或其他含金属的材料。
执行表面能改变处理。表面能改变处理改变了第一表面能值和第二表面能值中的至少一者,使得液体形式的填充材料与侧壁表面或底表面之间的界面的接触角值小于60度。因此,这样的表面能改变处理可以以侧壁表面、底表面或二者为目标。根据所选择的能量改变处理的类型,液体形式的填充材料与侧壁表面之间的界面的接触角值可以小于60度或小于30度或小于20度。
执行能量改变处理可以包括改变第二表面能值使得根据所执行的改变处理的类型,液体形式的填充材料与底表面之间的界面的接触角值小于60度、或小于30度、或小于20度。
本文的技术提供了用于改变待填充的表面的表面能的数种替代处理。一种示例性处理是在等离子体处理***内将基底暴露于等离子体产物。图2示出了这样的示例性处理。可以在基底的工作表面上形成等离子体172使得等离子体产物与基底表面反应或以其他方式轰击基底表面以改变表面能。等离子体产物的各向同性流有益于对侧壁表面进行改变。
在另一个实施方案中,可以在浮雕图案上沉积基于液体的改性剂,所述改性剂可以与表面反应或以其他方式改变表面。这样的基于液体的处理可以包括执行蚀刻后湿式清洗。用基于浮雕图案材料和下层材料而选择的特定清洗化学品,可以对基底同时进行清洗和改变。
替代的改变技术包括例如通过原子层沉积、化学气相沉积、直流叠加或其组合在浮雕图案上沉积共形膜。
注意,可以执行一个或更多个改变处理。在一些制造技术中,第一改变处理可用于对侧壁表面进行改变,而第二改变处理用于对底表面进行改变。此外,根据需要,可以执行两个或更多个处理以对给定的表面进行改变。在已经执行一个或更多个改变处理之后,通过旋涂沉积将填充材料(其可以是含金属的)沉积在基底上。执行该沉积使得填充材料填充所限定的开口,并且填充材料与侧壁表面和底表面接触。在针对给定的填充材料对表面能调整的情况下,这样的沉积导致无空隙填充。图3示出了在填充操作之后和在去除填充材料的任何覆盖层(多余表层,overburden)的任选的平坦化步骤之后的基底部分的示例性结果。
作为特定的非限制性实例,在基底上沉积基于丙醇(一种醇)的低温钛氧化物(TiOx)溶剂体系。在沉积该金属氧化物之前,执行氧化蚀刻后处理以及用异丙醇进行的洗涤。如图5所示,结果是金属氧化物的无空隙填充。
在前面的描述中,已经阐述了具体的细节,例如处理***的特定几何结构和其中使用的各种部件和过程的描述。然而,应理解,本文的技术可以在偏离这些具体细节的其他实施方案中实施,并且这些细节是出于说明而不是限制的目的。已经参照附图描述了本文公开的实施方案。类似地,出于说明的目的,已经阐述了具体的数字、材料和配置以提供透彻的理解。然而,实施方案可以在没有这些具体细节的情况下实施。具有基本上相同的功能构造的部件由相同的附图标记表示,因此可以省略任何冗余的描述。
各种技术已经被描述为多个分立的操作以帮助理解各种实施方案。描述的顺序不应被解释为暗示这些操作必须是依赖顺序的。实际上,这些操作无需以呈现的顺序进行。所描述的操作可以以与所述实施方案不同的顺序进行。在另外的实施方案中可以进行各种附加的操作和/或可以省略所描述的操作。
如本文使用的“基底”或“目标基底”通常是指根据本发明处理的对象。基底可以包括器件(特别是半导体或其他电子器件)的任何材料部分或结构,并且可以例如为基础基底结构(如半导体晶片、中间掩模)或者基础基底结构上或覆盖基础基底结构的层,例如薄膜。因此,基底不限于任何特定的基底结构、下层或上覆层、图案化或未图案化的,而是相反地,预期包括任何这样的层或基底结构以及层和/或基底结构的任意组合。本说明书可以参照特定类型的基底,但这仅用于说明的目的。
本领域技术人员还将理解,可以对上述技术的操作做出许多改变,同时仍实现本发明的相同目的。这样的改变旨在被本公开的范围所覆盖。因此,本发明的实施方案的前述描述并不旨在限制。相反,在以下权利要求中呈现了对本发明的实施方案的任何限制。

Claims (11)

1.一种用于在基底上沉积材料的方法,所述方法包括:
接收具有浮雕图案的基底,所述浮雕图案限定了露出下层的开口,所述浮雕图案提供限定所述开口的侧壁表面,所述下层提供限定所述开口的底表面,所述侧壁表面具有第一表面能值,所述底表面具有第二表面能值;
确定填充材料,所述填充材料为金属硬掩模材料,经由旋涂沉积而沉积在所述基底上来填充所限定的开口;
执行表面能改变处理,所述表面能改变处理改变所述第一表面能值和所述第二表面能值中的至少一者,使得液体形式的所述填充材料与所述侧壁表面或所述底表面之间的界面的接触角值小于60度,并且使得其中沉积有所述金属硬掩模材料的溶剂体系的表面能与所述侧壁表面和所述底表面二者的表面能相匹配;以及
在执行所述表面能改变处理之后,经由旋涂沉积将所述填充材料沉积在所述基底上,使得所述填充材料填充与所述侧壁表面及所述底表面接触的所限定的开口。
2.根据权利要求1所述的方法,其中执行所述表面能改变处理导致液体形式的所述填充材料与所述侧壁表面之间的界面的接触角值小于30度。
3.根据权利要求2所述的方法,其中执行所述表面能改变处理导致液体形式的所述填充材料与所述侧壁表面之间的界面的接触角值小于20度。
4.根据权利要求1所述的方法,其中执行所述表面能改变处理导致液体形式的所述填充材料与所述底表面之间的界面的接触角值小于30度。
5.根据权利要求4所述的方法,其中执行所述表面能改变处理导致液体形式的所述填充材料与所述底表面之间的界面的接触角值小于20度。
6.根据权利要求1所述的方法,其中执行所述表面能改变处理包括在等离子体处理***内将所述基底暴露于等离子体产物。
7.根据权利要求1所述的方法,其中执行所述表面能改变处理包括将基于液体的改性剂沉积在所述浮雕图案上。
8.根据权利要求7所述的方法,其中将所述基于液体的改性剂沉积在所述浮雕图案上包括执行蚀刻后湿式清洗。
9.根据权利要求1所述的方法,其中执行所述表面能改变处理包括在所述浮雕图案上沉积共形膜。
10.根据权利要求9所述的方法,其中执行所述表面能改变处理包括执行选自以下的处理:原子层沉积、化学气相沉积和直流叠加。
11.一种用于在基底上沉积材料的方法,所述方法包括:
接收具有浮雕图案的基底,所述浮雕图案限定了露出下层的开口,所述浮雕图案提供限定所述开口的侧壁表面,所述下层提供限定所述开口的底表面,所述侧壁表面具有第一表面能值,所述底表面具有第二表面能值;
确定填充材料,所述填充材料经由旋涂沉积而沉积在所述基底上来填充所限定的开口,所述填充材料为金属氧化物材料;
执行表面能改变处理,所述表面能改变处理改变所述第一表面能值和所述第二表面能值中的至少一者,使得液体形式的所述填充材料与所述侧壁表面或所述底表面之间的界面的接触角值小于60度,并且使得其中沉积有金属氧化物材料的溶剂体系的表面能与所述侧壁表面和所述底表面二者的表面能相匹配;以及
在执行所述表面能改变处理之后,经由旋涂沉积将所述填充材料沉积在所述基底上,使得所述填充材料填充与所述侧壁表面及所述底表面接触的所限定的开口,
其中所述浮雕图案限定临界尺寸小于40纳米的开口。
CN201780013847.5A 2016-01-28 2017-01-26 旋涂沉积金属氧化物的方法 Active CN108701587B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662288253P 2016-01-28 2016-01-28
US62/288,253 2016-01-28
PCT/US2017/015097 WO2017132351A1 (en) 2016-01-28 2017-01-26 Methods of spin-on deposition of metal oxides

Publications (2)

Publication Number Publication Date
CN108701587A CN108701587A (zh) 2018-10-23
CN108701587B true CN108701587B (zh) 2023-04-21

Family

ID=59387626

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780013847.5A Active CN108701587B (zh) 2016-01-28 2017-01-26 旋涂沉积金属氧化物的方法

Country Status (6)

Country Link
US (1) US10141183B2 (zh)
JP (1) JP6928764B2 (zh)
KR (1) KR102405203B1 (zh)
CN (1) CN108701587B (zh)
TW (1) TWI643251B (zh)
WO (1) WO2017132351A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9991156B2 (en) * 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
US10354922B1 (en) 2017-12-27 2019-07-16 International Business Machines Corporation Simplified block patterning with wet strippable hardmask for high-energy implantation
US10707232B2 (en) 2018-05-14 2020-07-07 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device using a porosity in a sacrificial pattern, and fabricating equipment for semiconductor device using the same
US10573520B2 (en) 2018-06-12 2020-02-25 International Business Machines Corporation Multiple patterning scheme integration with planarized cut patterning

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101821839A (zh) * 2007-10-01 2010-09-01 蔻维尔公司 轮廓经设计的薄膜器件和结构
CN104183784A (zh) * 2013-05-23 2014-12-03 剑桥显示技术有限公司 电子器件及其制造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100660384B1 (ko) * 1998-03-17 2006-12-21 세이코 엡슨 가부시키가이샤 표시장치의 제조방법
JP2000251663A (ja) * 1999-02-25 2000-09-14 Canon Inc 電子放出素子、電子源、画像形成装置及びそれらの製造方法
US6730597B1 (en) 2000-08-03 2004-05-04 Texas Instruments Incorporated Pre-ECD wet surface modification to improve wettability and reduced void defect
GB0207134D0 (en) * 2002-03-27 2002-05-08 Cambridge Display Tech Ltd Method of preparation of organic optoelectronic and electronic devices and devices thereby obtained
US6972261B2 (en) * 2002-06-27 2005-12-06 Xerox Corporation Method for fabricating fine features by jet-printing and surface treatment
GB0215858D0 (en) * 2002-07-09 2002-08-14 Cambridge Display Tech Ltd Patterning method
JP4538259B2 (ja) * 2003-04-23 2010-09-08 東京エレクトロン株式会社 層間絶縁膜の表面改質方法及び表面改質装置
US7686978B2 (en) 2003-09-24 2010-03-30 E. I. Du Pont De Nemours And Company Method for the application of active materials onto active surfaces and devices made with such methods
KR20050064265A (ko) * 2003-12-23 2005-06-29 주식회사 하이닉스반도체 반도체 소자의 절연막 패터닝 방법
US20050239295A1 (en) * 2004-04-27 2005-10-27 Wang Pei-L Chemical treatment of material surfaces
WO2006071938A1 (en) * 2004-12-29 2006-07-06 E. I. Dupont De Nemours And Company Coated substrate and method of making same
EP1948852B1 (en) * 2005-11-18 2018-08-29 Luxembourg Institute of Science and Technology (LIST) Master electrode and method of forming the master electrode
KR101194839B1 (ko) * 2006-02-28 2012-10-25 삼성전자주식회사 나노결정을 포함하는 메모리 소자 및 그 제조 방법
US20070254402A1 (en) * 2006-04-27 2007-11-01 Robert Rotzoll Structure and fabrication of self-aligned high-performance organic fets
EP1976019B1 (en) * 2007-03-29 2011-06-15 Korea Advanced Institute of Science and Technology Thin film transistor including titanium oxides as active layer and method of manufacturing the same
JP5194645B2 (ja) * 2007-08-29 2013-05-08 ソニー株式会社 半導体装置の製造方法
US8114301B2 (en) * 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8551566B2 (en) * 2009-02-19 2013-10-08 Massachusetts Institute Of Technology Directed material assembly
JP5254381B2 (ja) * 2011-02-23 2013-08-07 株式会社東芝 パターン形成方法
US9249013B2 (en) * 2012-04-16 2016-02-02 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
US9136123B2 (en) * 2013-01-19 2015-09-15 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US9502231B2 (en) * 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101821839A (zh) * 2007-10-01 2010-09-01 蔻维尔公司 轮廓经设计的薄膜器件和结构
CN104183784A (zh) * 2013-05-23 2014-12-03 剑桥显示技术有限公司 电子器件及其制造方法

Also Published As

Publication number Publication date
KR102405203B1 (ko) 2022-06-02
WO2017132351A1 (en) 2017-08-03
CN108701587A (zh) 2018-10-23
JP2019510366A (ja) 2019-04-11
TWI643251B (zh) 2018-12-01
US20170221704A1 (en) 2017-08-03
KR20180100070A (ko) 2018-09-06
US10141183B2 (en) 2018-11-27
TW201738939A (zh) 2017-11-01
JP6928764B2 (ja) 2021-09-01

Similar Documents

Publication Publication Date Title
TWI622861B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
CN108701587B (zh) 旋涂沉积金属氧化物的方法
TWI625764B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
US10366890B2 (en) Method for patterning a substrate using a layer with multiple materials
US11107682B2 (en) Method for patterning a substrate using a layer with multiple materials
US9831117B2 (en) Self-aligned double spacer patterning process
JP2018531506A6 (ja) サブ解像度基板パターニングのためのエッチングマスクを形成する方法
US9978596B2 (en) Self-aligned multiple spacer patterning schemes for advanced nanometer technology
JP5732395B2 (ja) ハードマスク及び二重露光により形成される半導体デバイスのコンタクト及びビア
CN109075124B (zh) 使用具有多种材料的层对基底进行图案化的方法
KR102230086B1 (ko) 분해능이하 기판 패터닝 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant