TWI781889B - 形成氮碳氧化矽薄膜的方法 - Google Patents

形成氮碳氧化矽薄膜的方法 Download PDF

Info

Publication number
TWI781889B
TWI781889B TW111107348A TW111107348A TWI781889B TW I781889 B TWI781889 B TW I781889B TW 111107348 A TW111107348 A TW 111107348A TW 111107348 A TW111107348 A TW 111107348A TW I781889 B TWI781889 B TW I781889B
Authority
TW
Taiwan
Prior art keywords
silicon
plasma
substrate
reactant
certain embodiments
Prior art date
Application number
TW111107348A
Other languages
English (en)
Other versions
TW202225459A (zh
Inventor
俊哉 鈴木
維爾傑米 J. 波爾
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/939,984 external-priority patent/US9786491B2/en
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW202225459A publication Critical patent/TW202225459A/zh
Application granted granted Critical
Publication of TWI781889B publication Critical patent/TWI781889B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Thin Film Transistor (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明提供用於在反應空間中在基板上沉積氮碳氧化矽(SiOCN)薄膜的方法。所述方法可包括至少一個電漿增強型原子層沉積(PEALD)循環,所述電漿增強型原子層沉積(PEALD)循環包括使基板交替地且依序地接觸矽前驅物及不包含氧的第二反應物。在某些實施例中所述方法使得能夠沉積具有改善的酸系耐濕蝕刻性的氮碳氧化矽膜。

Description

形成氮碳氧化矽薄膜的方法
本申請案主張於2016年11月3日提出申請的作為於2015年11月12日提出申請的美國專利申請案第14/939,984號的部分連續申請案的美國專利申請案15/342,943號的優先權。
本發明大體而言是有關於半導體裝置製造領域,且更具體而言,是有關於具有期望的耐化學性屬性的氮碳氧化矽(SiOCN)膜的形成。
對具有相對低的介電常數(k)值及相對低的酸系濕蝕刻速率的介電材料的需要日益增加。氮碳氧化矽可滿足該些要求中的某些要求。通常,氮碳氧化矽的沉積製程需要包含鹵化物及/或氧電漿的前驅物(precursor)。
在某些實施例中,提供電漿增強型原子層沉積(plasma enhanced atomic layer deposition,PEALD)製程,所述製程用於在反應空間中的基板上形成氮碳氧化矽(SiOCN)薄膜。在某些實施例中,電漿增強型原子層沉積製程可包括至少一個沉積循環,沉積循環包括:使基板的表面接觸氣相矽前驅物,以在基板的表面上吸附矽物質;使所吸附的矽物質接觸由自不包含氧的氣體形成的電漿產生的至少一種反應性物質;以及可選地重覆進行各接觸步驟直至已形成具有所期望厚度的氮碳氧化矽膜為止。在某些實施例中,在電漿增強型原子層沉積製程中使用的矽前驅物具有如以下通式中的一者所示的化學式: (R IO) 4-xSi(R II-NH 2) x(1) 其中x是為1至4的整數; R I是獨立選擇的烷基;並且 R II是獨立選擇的烴; (R IO) 3Si-R II-NH 2(2) 其中R I是獨立選擇的烷基;並且 R II是獨立選擇的烴;以及 (R IO) 4-xSi(-[CH 2] n-NH 2) x(3) 其中x是為1至4的整數; n是為1至5的整數;並且 R I是獨立選擇的烷基。
在某些實施例中,氮碳氧化矽薄膜的濕蝕刻速率對熱氧化矽的濕蝕刻速率的比率可小於約5。在某些實施例中,氮碳氧化矽薄膜的濕蝕刻速率對熱氧化矽的濕蝕刻速率的比率可小於約0.3。在某些實施例中,氮碳氧化矽薄膜的濕蝕刻速率對熱氧化矽的濕蝕刻速率的比率可小於約0.1。
在某些實施例中,可在基板上在三維結構上沉積氮碳氧化矽薄膜。在某些實施例中,在稀釋的氫氟酸中,形成於三維結構的頂表面上的氮碳氧化矽的濕蝕刻速率對形成於三維結構的側壁表面上的氮碳氧化矽的濕蝕刻速率的濕蝕刻速率比率可為約1:1、約1:5或小於約2:1。
在某些實施例中,氣相矽前驅物可不包含鹵素。在某些實施例中,矽前驅物可包含(3-胺丙基)三甲氧基矽烷((3-aminopropyl)trimethoxysilane,APTMS)。在某些實施例中,反應性物質可包含氫電漿、氫原子、氫自由基或氫離子。在某些實施例中,反應性物質可自包含稀有氣體的第二反應物中產生。在某些實施例中,反應性物質可更包含氮電漿、氮原子、氮自由基或氮離子。在某些實施例中,可由源自包含氫的第二反應物的電漿產生反應性物質。在某些實施例中,第二反應物可包含H 2
在某些實施例中,基板表面可包含有機材料。在某些實施例中,有機材料包括光致抗蝕劑材料。
在某些實施例中,所述方法可更包括在進行所期望數目的沉積循環之後施行的氫電漿處理循環,所述氫電漿處理循環包括使基板接觸由源自氫的電漿所產生的反應性物質。在某些實施例中,可在沉積製程期間將氫電漿處理循環施行多於一次。在某些實施例中,氫電漿處理循環對沉積循環的比率為約1:1至約1:10。在某些實施例中,至少一種反應性物質包括由源自N 2的電漿中產生的反應性物質。
在某些實施例中,所述方法可更包括在已施行所期望數目的沉積循環之後執行的第二氫電漿沉積步驟,所述氫電漿沉積步驟包括至少一個循環,所述循環包括:使基板的表面接觸氣相矽前驅物,以在基板的表面上形成矽物質;使所吸附的矽物質接觸由自H 2形成的電漿產生的反應性物質;以及可選地重覆進行各接觸步驟直至已形成具有所期望厚度的氮碳氧化矽膜為止;其中矽前驅物具有如以下通式中的一者所示的化學式: (R IO) 4-xSi(R II-NH 2) x(1) 其中x是為1至4的整數; R I是獨立選擇的烷基;並且 R II是獨立選擇的烴; (R IO) 3Si-R II-NH 2(2) 其中R I是獨立選擇的烷基;並且 R II是獨立選擇的烴; (R IO) 4-xSi(-[CH 2] n-NH 2) x(3) 其中x是為1至4的整數; n是為1至5的整數;並且 R I是獨立選擇的烷基。
在某些實施例中,所述方法用於在間隔壁界定雙重圖案化(spacer defined double patterning,SDDP)製程中在基板上形成氮碳氧化矽間隔壁。在某些實施例中,所述方法用於在間隔壁界定四重圖案化(spacer defined quadruple patterning,SQDP)製程中在基板上形成氮碳氧化矽間隔壁。
在某些實施例中,氮碳氧化矽薄膜可包含至少20原子%(at%)的氧。在某些實施例中,氮碳氧化矽薄膜可包含至少5原子%的碳。在某些實施例中,氮碳氧化矽薄膜可包含至少5原子%的氮。
在某些實施例中,提供用於在反應空間中的基板上形成氮碳氧化矽(SiOCN)薄膜的製程。在某些實施例中,製程可包括多個沉積循環,至少一個沉積循環可包括使基板的表面交替地且依序地接觸矽前驅物及包含至少一種反應性物質的第二反應物。在某些實施例中,可將沉積循環重覆進行兩次或更多次,以形成氮碳氧化矽薄膜。在某些實施例中,矽前驅物可具有通式: L nSi(OR I) 4-x-y-z-n(R IINR IIIR IV) xH y(OH) z其中n是為0至3的整數,x是為1至4的整數,y是為0至3的整數,z是為0至3的整數;並且4-x-y-z-n為0至3; R I是獨立選擇的烷基; R II是獨立的烴; R III及R IV是獨立選擇的烷基及/或氫;並且 L是獨立選擇的烷基或鹵素。
在某些實施例中,可由自不包含氧的氣體所形成的電漿中產生至少一種反應性物質。
在某些實施例中,矽前驅物可具有通式: L nSi(OR I) 4-x-n(R IINR IIIR IV) x其中n是為0至3的整數,x是為1至3的整數; L是獨立選擇的烷基或鹵素; R I是獨立選擇的烷基; R II是獨立選擇的烴;並且 R III及R IV是獨立選擇的烷基及/或氫。
在某些實施例中,矽前驅物可具有通式: Si(OR I) 4-x-y-z(R IINR IIIR IV) xH y(OH) z其中x是為1至4的整數,y是為0至3的整數,z是為0至3的整數; R I是獨立選擇的烷基; R II是獨立選擇的烴;並且 R III及R IV是獨立選擇的烷基及/或氫。
在某些實施例中,矽前驅物可具有通式: Si(OR I) 4-x(R IINR IIIR IV) x其中x是為1至4的整數; R I是獨立選擇的烷基; R II是獨立選擇的烴;並且 R III及R IV是獨立選擇的烷基及/或氫。
在某些實施例中,矽前驅物可包含(3-胺丙基)三甲氧基矽烷。在某些實施例中,至少一個沉積循環可為電漿增強型原子層沉積循環。在某些實施例中,可藉由向第二反應物施加約100瓦(W)至約1000瓦的射頻功率(RF power)而產生反應性物質。在某些實施例中,可在約300℃至約400℃的製程溫度下施行沉積循環。在某些實施例中,可在小於約100℃的製程溫度下施行沉積循環。在某些實施例中,基板可包含有機材料。
在某些實施例中,提供製程來在反應空間中的基板上沉積氮碳氧化矽(SiOCN)薄膜。在某些實施例中,此種製程可包括使基板的表面接觸矽前驅物,矽前驅物包含至少一個藉由碳而鍵接至矽原子且含有附接至碳鏈的NH 2基的配位體(ligand)及至少一個藉由氧原子而鍵接至矽原子且其中烷基鍵接至氧原子的配位體。在某些實施例中,製程可更包括:使基板暴露至吹洗氣體及/或真空,以移除過量的反應物及反應副產物(若有);使基板的表面接觸包含氫的第二反應物,其中第二反應物包含由電漿所產生的至少一種反應性物質;使基板暴露至吹洗氣體及/或真空,以移除過量的第二反應物及反應物副產物(若有);以及重覆進行各接觸步驟直至已形成具有所期望厚度的氮碳氧化矽薄膜為止。
如將對熟習此項技術者顯而易見,氮碳氧化矽(SiOCN)膜已例如在積體電路製作中得到廣泛應用。更具體而言,顯示出低的蝕刻速率的氮碳氧化矽膜在半導體行業內及半導體行業外均得到廣泛應用。氮碳氧化矽膜可有用地作為例如蝕刻停止層、犧牲層、低k間隔壁、抗反射層(anti-reflection layer,ARL)及鈍化層(passivation layer)。
根據本發明某些實施例,提供各種氮碳氧化矽膜、前驅物及用於沉積所述膜的方法。在某些實施例中,氮碳氧化矽膜例如在稀釋氫氟酸中具有相對低的濕蝕刻速率。
在某些實施例中,藉由電漿增強型原子層沉積(PEALD)製程而在基板上沉積氮碳氧化矽薄膜。在某些實施例中,不藉由液相方法來沉積氮碳氧化矽薄膜。在某些實施例中,在三維結構(例如,鰭型場效電晶體(fin-type field effect transistor,finFET)裝置的形成中的鰭)之上沉積氮碳氧化矽薄膜。
為方便及簡潔起見,在本文中一般將氮碳氧化矽膜的化學式稱為SiOCN。本文中使用的「SiOCN」並非旨在限制、約束、或界定所述膜中的Si、O、C、N中的任一者及/或任一其他元素的鍵接狀態或化學狀態(例如,氧化狀態)。此外,在某些實施例中,SiOCN薄膜可包含除Si、O、C、及/或N以外的一種或多種元素。在某些實施例中,所述SiOCN膜可包含Si-C鍵、Si-O鍵及/或Si-N鍵。在某些實施例中,SiOCN膜可包含Si-C鍵及Si-O鍵且可不包含Si-N鍵。在某些實施例中,SiOCN膜可包含的Si-O鍵多於Si-C鍵,舉例而言,Si-O鍵對Si-C鍵的比率可為約1:1至約10:1。在某些實施例中,按原子基準(原子%),SiOCN膜可包含約0%至約10%的氮。在某些實施例中,按原子基準,SiOCN可包含約0%至約30%的碳。在某些實施例中,按原子基準,SiOCN膜可包含約0%至約60%的氧。在某些實施例中,按原子基準,SiOCN膜可包含約0%至約50%的矽。
原子層沉積型製程是基於受控的、一般為自限制(self-limiting)的表面反應。通常藉由使基板交替地且依序地接觸反應物來避免氣相(gas phase)反應。舉例而言,藉由在反應物脈衝之間移除過量的反應物及/或反應物副產物而使氣相反應物在反應室中彼此分離。藉助吹洗氣體及/或真空,可自基板表面附近移除反應物。在某些實施例中,藉由例如利用惰性氣體進行吹洗、而自反應空間移除過量的反應物及/或反應物副產物。
在某些實施例中,使用電漿增強型原子層沉積(PEALD)製程來沉積SiOCN膜。在某些實施例中,如本文中所闡述的電漿增強型原子層沉積製程不包含氧電漿。簡言之,將基板或工件放置於反應室中並使基板或工件經歷交替重覆進行的表面反應。在某些實施例中,藉由重覆進行自限制原子層沉積循環而形成薄的SiOCN膜。在某些實施例中,為形成SiOCN膜,每一原子層沉積循環包括至少兩個不同的階段。使反應物接觸基板並自基板移除反應物可被視為一階段。在第一階段中,包含矽的氣相第一反應物接觸基板且在基板表面上形成不多於約一個單層。在本文中亦將此反應物稱作「矽前驅物」、「含矽前驅物」或「矽反應物」,且此反應物可為例如(3-胺丙基)三甲氧基矽烷(APTMS)。
在第二階段中,使包含反應性物質的第二反應物接觸基板且可將所吸附的矽轉換成SiOCN。在某些實施例中,所述第二反應物包含氫前驅物。在某些實施例中,反應性物質包括激發物質(excited species)。在某些實施例中,第二反應物包含源自含氫電漿的物質。在某些實施例中,第二反應物包含氫自由基、氫原子及/或氫電漿。第二反應物可包含不是氫前驅物的其他物質。在某些實施例中,第二反應物可包含呈一種形式或另一種形式的氮電漿、氮自由基或原子氮。在某些實施例,所述第二反應物可包含源自舉例而言作為自由基、呈電漿形式或呈元素形式的例如He、Ne、Ar、Kr或Xe等稀有氣體的物質。該些源自稀有氣體的反應性物質未必貢獻材料至所沉積的膜,然而在某些情形中不但可對膜成長作出貢獻並且有助於形成及點燃電漿。在某些實施例中,用於形成電漿的氣體可在整個沉積製程中恆定地流動而僅被間歇地激活。在某些實施例中用於形成電漿的氣體不包含氧。在某些實施例中,所吸附的矽前驅物不接觸由源自氧的電漿產生的反應性物質。在某些實施例中,在不包含氧的氣體中產生包含反應性物質的第二反應物。舉例而言,在某些實施例中,第二反應物可包含在不包含氧的氣體中產生的電漿。在某些實施例中,可在包含小於約1原子%(at%)的氧、小於約0.1原子%的氧、小於約0.01原子%的氧或小於約0.001原子%的氧的氣體中產生所述第二反應物。
在某些實施例中,可例如藉由以下來改變電漿增強型原子層沉積製程:針對一個或多個沉積循環,首先,使用包含呈一種形式或另一種形式的氮電漿、氮自由基或氮原子的第二反應物來形成具有所期望厚度的層;並且在已沉積具有所期望厚度的SiOCN層時接著使用包含氫自由基、氫原子及/或氫電漿的第二反應物。
在某些實施例中,所述電漿增強型原子層沉積製程可針對一個或多個沉積循環使用包含由源自惰性氣體(例如,如Ar或He等稀有氣體)的電漿產生的反應性物質的第二反應物來形成具有所期望厚度的層,並且可在已沉積具有所期望厚度的SiOCN層時接著使用包含氫自由基、氫原子及/或氫電漿的第二反應物。
在某些實施例中,可使用本文中所闡述的電漿增強型原子層沉積製程在包含有機表面(例如,包含光致抗蝕劑材料的表面)的基板上沉積SiOCN薄膜。在某些實施例中,可使用如本文中所闡述的電漿增強型原子層沉積製程在包含對由氫電漿造成的劣化或變形敏感的表面(例如,光致抗蝕劑表面)的基板上沉積SiOCN薄膜。在某些實施例中,用於沉積SiOCN薄膜的製程可同時具有如本文中所闡述的兩種不同的電漿增強型原子層沉積製程。舉例而言,使用包含呈一種形式或另一種形式的氮電漿、氮自由基或原子氮的第二反應物的第一電漿增強型原子層沉積製程可在對氫電漿敏感的表面之上沉積厚到足以保護表面不受氫電漿影響的SiOCN層。接著使用包含氫自由基、氫原子及/或氫電漿的第二反應物的第二電漿增強型原子層沉積製程可直接在最先的SiOCN層之上沉積具有所期望厚度的另一SiOCN層。
根據期望,可添加額外的階段且可移除階段來調整最終膜的組成。
可藉助例如Ar或He等載氣(carrier gas)來提供反應物中的一種或多種反應物。在某些實施例中,藉助載氣來提供矽前驅物及第二反應物。
在某些實施例中,所述階段中的二者可重疊或相結合。舉例而言,矽前驅物及第二反應物可在部分重疊或完全重疊的階段中同時接觸所述基板。另外,儘管稱作第一階段與第二階段以及第一反應物與第二反應物,然而階段的次序可有所變化,且原子層沉積循環可以階段中的任一者開始。亦即,除非明確規定,否則反應物可以任一次序接觸基板,且製程可以反應物中的任一者開始。
如以下所更詳細論述,在某些實施例中,為沉積SiOCN膜,一個或多個沉積循環首先使基板接觸矽前驅物,隨後再使基板接觸第二前驅物。在其他實施例中,沉積可首先使基板接觸第二前驅物,隨後再使基板接觸矽前驅物。
在某些實施例中,將期望在其上進行沉積的基板(例如,半導體工件)裝載至反應空間或反應器中。反應器可為在其中施行積體電路的形成中的多種不同製程的集簇型工具(cluster tool)的一部分。在某些實施例中,使用流動型(flow-type)反應器。在某些實施例中,使用噴淋頭型(shower head type)反應器。在某些實施例中,使用分區反應器(space divided reactor)。在某些實施例中,使用能夠大量製造的單個晶圓(high-volume manufacturing-capable single wafer)原子層沉積反應器。在其他實施例中,使用包括多個基板的批次反應器(batch reactor)。對於其中使用批次原子層沉積反應器的實施例,基板的數目處於10至200的範圍中、50至150的範圍中或100至130的範圍中。
可使用的適合的反應器的實例包括能夠自ASM美國有限公司(菲尼克斯,亞利桑那州)及ASM歐洲私人有限公司(ASM Europe B.V.)(阿爾梅勒,荷蘭)商業購得的裝備,例如普爾薩 ®(Pulsar ®)反應器(例如普爾薩 ®2000及普爾薩 ®3000)、艾莫拉德 ®(EmerALD ®)反應器及高級 ®(Advance ®)400系列反應器。其他能夠商業購得的反應器包括能夠以商標名鷹格爾 ®(Eagle ®)XP及鷹格爾 ®XP8自ASM日本有限公司(東京,日本)商業購得者。
在某些實施例中,視需要,可對工件的暴露表面進行預處理來提供反應性部位(reactive site)以伴隨原子層沉積製程的第一階段而進行反應。在某些實施例中,不需要進行單獨的預處理步驟。在某些實施例中,對基板進行預處理以提供所期望的表面終止狀態(surface termination)。在某些實施例中,利用電漿對基板進行預處理。
在某些實施例中,預處理步驟可包括在基板的表面的至少一部分上沉積有機材料。然而,在某些實施例中,可提供已包含有機材料的基板。在某些實施例中,預處理步驟可包括在基板的表面的至少一部分上沉積聚合物(polymer)材料。舉例而言,在某些實施例中,預處理步驟可包括在基板的至少一部分上形成聚醯亞胺、聚醯胺、聚苯乙烯、聚脲、或其他此類聚合物材料。在某些實施例中,聚合物可包括二聚物、三聚物、聚胺甲酸酯、聚硫脲、聚酯、或聚胺。在某些實施例中,所形成的有機材料可包括以上材料的其他聚合形式或混合物。
在某些實施例中,預處理步驟可包括沉積或形成包含例如光致抗蝕劑等抗蝕劑材料的層。亦即,在某些實施例中,預處理步驟可包括形成或沉積包含光敏性材料的層,所述光敏性材料能夠用於例如光刻(photolithography)製程或光雕(photoengraving)製程中。舉例而言,在某些實施例中,預處理步驟可包括形成或沉積能夠用於極紫外微影(extreme ultraviolet lithography)製程中的光致抗蝕劑材料(抑或稱作極紫外抗蝕劑)。在某些實施例中,預處理步驟可包括形成或沉積能夠用於浸沒微影(immersion lithography)製程中的光致抗蝕劑,例如能夠用於利用波長為193奈米的光的浸沒微影製程中的光致抗蝕劑(抑或稱作193i抗蝕劑)。
在各反應物接觸階段之間自基板的鄰近之處、且具體而言自基板表面移除過量的反應物及反應副產物(若有)。在某些實施例中,藉由例如在各反應物接觸階段期間對反應室進行吹洗(例如利用惰性氣體進行吹洗)而自基板表面移除過量的反應物及反應副產物(若有)。每一反應物的流動速率及接觸時間是能夠微調的,移除步驟亦是能夠微調的,此使得能夠對膜的品質及各種屬性進行控制。
如上所述,在某些實施例中,在每一沉積循環期間或在整個原子層沉積製程期間連續地向反應室提供氣體,且藉由在位於反應室中或位於反應室上游的氣體中產生電漿來提供反應性物質。在某些實施例中,氣體包含氮。在某些實施例中,氣體是氮氣。在某些實施例中,氣體可包括例如氦氣或氬氣等稀有氣體。在某些實施例中,氣體是氦氣或氮氣。流動的氣體亦可充當第一反應物及/或第二反應物(或反應性物質)的吹洗氣體。舉例而言,流動的氮氣可充當第一矽前驅物的吹洗氣體且亦充當第二反應物(作為反應性物質的來源)。在某些實施例中,氮氣、氬氣或氦氣可充當第一前驅物的吹洗氣體及用於將矽前驅物轉換成SiOCN膜的激發物質的來源。在某些實施例中,在其中產生電漿的氣體不包含氮,且所吸附的矽前驅物不接觸由源自氮的電漿產生的反應性物質。在某些實施例中,在其中產生電漿的氣體不包含氧,且所吸附的矽前驅物不接觸由源自氧的電漿產生的反應性物質。
重覆進行循環直至獲得具有所期望厚度及組成的膜為止。在某些實施例中,可在原子層沉積製程期間,在一個或多個沉積循環中改變例如前驅物流動速率、接觸時間、移除時間等沉積參數及/或反應物自身,以獲得具有所期望特性的膜。
在某些實施例中,使基板的表面接觸反應物。在某些實施例中,提供反應物的脈衝至容納基板的反應空間。所述用語「脈衝」可被理解為包括以預定的時間量將反應物饋送至反應室中。所述用語「脈衝」不對脈衝的長度或持續時間進行約束且脈衝可為任何時間長度。在某些實施例中,將基板移動至容納反應物的反應空間。在某些實施例中,隨後將基板自容納第一反應物的反應空間移動至容納第二反應物的不同的第二反應空間。
在某些實施例中,使基板首先接觸矽反應物。在最初的表面終止狀態之後,若有必要或為所期望的,則使基板接觸第一矽反應物。在某些實施例中,向工件供應第一矽反應物脈衝。根據某些實施例,所述第一反應物脈衝包括載氣流及揮發性矽物質(例如(3-胺丙基)三甲氧基矽烷),第一反應物脈衝對於相關工件表面具有反應性。因此,矽反應物吸附於該些工件表面上。第一反應物脈衝使工件表面自飽和,以使得第一反應物脈衝的任何過量的成分不會進一步與藉由此製程而形成的分子層進行反應。
可以氣態形式供應第一矽反應物脈衝。若物質在製程條件下展示出充足的氣壓(vapor pressure)以將物質以充足的濃度傳送至工件且使暴露的表面飽和,則出於本說明的目的將矽前驅物氣體視為「揮發性」。
在某些實施例中,矽反應物接觸表面約0.05秒至約5.0秒、約0.1秒至約3秒或者約0.2秒至約1.0秒。熟悉此項技術者可基於特定情況輕易地確定最佳接觸時間。
在分子層吸附於基板表面上達充足時間之後,自基板表面移除過量的第一矽反應物及反應副產物(若有)。在某些實施例中,移除過量的反應物及反應副產物(若有)可包括吹洗反應室。在某些實施例中,可藉由停止第一反應物的流動並使載氣或吹洗氣體繼續流動達一充足時間來吹洗反應室,充足時間足以使過量的反應物及反應物副產物(若有)自反應空間被擴散或吹洗。在某些實施例中,藉助在整個原子層沉積循環中流動的惰性氣體(例如氮氣或氬氣)來吹洗過量的第一前驅物。在某些實施例中,可將基板自容納第一反應物的反應空間移動至不同的第二反應空間。在某些實施例中,對第一反應物移除達約0.1秒至約10秒、約0.3秒至約5秒或約0.3秒至約1秒。接觸及移除矽反應物可視為原子層沉積循環的第一階段或矽階段。
在第二階段中,向工件提供包含例如氫電漿等反應性物質的第二反應物。氫電漿可藉由以下方式形成:例如藉由使氫氣(H 2)流經遠程電漿產生器而在位於反應室中或反應室上游的氫中產生電漿。
在某些實施例中,在流動的H 2氣體中產生電漿。在某些實施例中,在點燃電漿之前向反應室提供H 2,或者形成氫原子或氫自由基。在某些實施例中,連續地向反應室提供H 2且當需要時生成或供應含氫電漿、含氫原子或含氫自由基。
通常,例如包含氫電漿的第二反應物接觸基板達約0.1秒至約10秒。在某些實施例中,例如含氫電漿等第二反應物接觸基板達約0.1秒至約10秒、0.5秒至約5秒、或0.5秒至約2.0秒。然而,視反應器類型、基板類型或基板表面面積而定,第二反應物接觸時間可甚至高於約10秒。在某些實施例中,接觸時間可為大約幾分鐘。熟悉此項技術者可基於特定情況輕易地確定最佳接觸時間。
在某些實施例中,以兩個或更多個不同的脈衝來提供所述第二反應物,而不在兩個或更多個脈衝中的任一者之間引入另一反應物。舉例而言,在某些實施例中,以兩個或更多個依序的脈衝來提供例如含氫電漿等電漿,而不在依序的脈衝之間引入Si前驅物。在某些實施例中,在提供電漿期間,藉由以下方式產生兩個或更多個依序的電漿脈衝:以第一時間週期提供電漿放電(plasma discharge);以第二時間週期消除電漿放電,所述第二時間週期例如為約0.1秒至約10秒、約0.5秒至約5秒、或約1.0秒至約4.0秒;及在引入另一前驅物或移除步驟之前(例如在Si前驅物或吹洗步驟之前)以第三時間週期再次激發電漿放電。可以相同的方式來引入額外的電漿脈衝。在某些實例中,在各脈衝中的每一者中以相等的時間週期點燃電漿。
在某些實施例中,可藉由施加為約10瓦至約2000瓦、約50瓦至約1000瓦或在某些實施例中為約100瓦至約500瓦的射頻功率來產生例如含氫電漿等電漿。在某些實施例中,用於產生含氮電漿的電漿功率可為約500瓦至約1,500瓦、700瓦至約1200瓦或約800瓦至約1,000瓦。在某些實施例中,射頻功率密度可為約0.02瓦/平方公分(W/cm 2)至約2.0瓦/平方公分,或為約0.05瓦/平方公分至約1.5瓦/平方公分。射頻功率可被施加至在電漿接觸時間期間流動的、連續地流徑所述反應室的及/或流徑遠程電漿產生器的第二反應物。因此,在某些實施例中,在原位(in situ)產生電漿,而在其他實施例中,則遠程地產生電漿。在某些實施例中,使用噴淋頭反應器,且在基座(susceptor)(基板位於基座的頂部上)與噴淋頭板(showerhead plate)之間產生電漿。在某些實例中,基座與噴淋頭板之間的間隙為約0.1公分至約20公分、約0.5公分至約5公分或約0.8公分至約3.0公分。
在經過足以使預先所吸附的分子層完全飽和且使預先所吸附的分子層與電漿脈衝完全反應的一時間週期之後,自基板表面移除任何過量的反應物及反應副產物。
在某些實施例中,移除過量的反應物及反應副產物(若有)可包括吹洗反應室。在某些實施例中,可藉由停止第二反應物的流動並使載氣或吹洗氣體繼續流動達一充足時間來吹洗反應室,以使過量的反應物及反應物副產物(若有)自反應空間被擴散或吹洗。在某些實施例中,藉助在整個原子層沉積循環中流動的惰性氣體(例如氮氣或氬氣)來吹洗過量的第二前驅物。在某些實施例中,可將基板自容納第二反應物的反應空間移動至不同的反應空間。在某些實施例中,所述移除可為約0.1秒至約10秒、約0.1秒至約4秒或約0.1秒至約0.5秒。反應性物質接觸與反應性物質移除一併地代表SiOCN原子層沉積循環中的第二反應性物質階段。
此兩個階段一併地代表一個原子層沉積循環,重覆進行原子層沉積循環以形成具有所期望厚度的SiOCN薄膜。儘管在本文中所述原子層沉積循環一般意指先進行矽階段,然而預期在其他實施例中,循環可先進行反應性物質階段。熟悉此項技術者將認識到第一前驅物階段一般與前一循環中的最末階段餘留的終止狀態進行反應。因此,儘管假設反應性物質階段為第一原子層沉積循環中的第一階段,則沒有反應物可被預先地吸附於基板表面上或存在於反應空間中,然而在後續的循環中,反應性物質階段將有效地跟隨矽階段。在某些實施例中,在沉積製程中提供一個或多個不同的原子層沉積循環。
根據本發明的某些實施例,可在範圍為約25℃至約700℃、約50℃至約600℃、約100℃至約450℃、或約200℃至約400℃的溫度下執行電漿增強型原子層沉積反應。在某些實施例中,最佳反應器溫度可能受最大容許熱預算限制。因此,在某些實施例中,反應溫度為約300℃至約400℃。在某些應用中,最大溫度為約400℃左右,且因此,電漿增強型原子層沉積製程以此反應溫度運行。
在其上沉積薄膜的基板可包含各種類型的材料。在某些實施例中,基板可包括積體電路工件。在某些實施例中,基板可包含矽。在某些實施例中,基板可包含氧化矽,例如熱氧化物。在某些實施例中,基板可包含高k介電材料。在某些實施例中,基板可包含碳。舉例而言,基板可包含非晶碳層、石墨烯及/或碳奈米管。
在某些實施例中,基板可包含金屬,金屬包括但不僅限於W、Cu、Ni、Co及/或Al。在某些實施例中,基板可包含金屬氮化物,金屬氮化物包括但不僅限於TiN及/或TaN。在某些實施例中,基板可包含金屬碳化物,金屬碳化物包括但不僅限於TiC及/或TaC。在某些實施例中,基板可包含金屬硫屬化物,金屬硫屬化物包括但不僅限於MoS 2、Sb 2Te 3及/或GeTe。在某些實施例中,基板可包含將藉由進行氧電漿製程而非藉由如本文中所闡述的電漿增強型原子層沉積製程進行氧化的材料。
在某些實施例中,在本文中所闡述的電漿增強型原子層沉積製程中使用的基板可包含有機材料。舉例而言,所述基板可包含例如塑膠、聚合物、及/或光致抗蝕劑等有機材料。在某些實例中,所述基板可包含聚醯亞胺、聚醯胺、聚苯乙烯、聚脲、或其他此類聚合物材料。
在某些實施例中,基板可包含例如光致抗蝕劑等抗蝕劑材料。亦即,在某些實施例中,基板可包含能夠用於例如光刻製程或光雕製程中的光敏性材料。舉例而言,在某些實施例中,基板可包含能夠用於極紫外微影製程中的光致抗蝕劑材料(抑或稱作極紫外抗蝕劑)。在某些實施例中,基板可包含能夠用於浸沒微影製程中的光致抗蝕劑,例如能夠用於利用波長為193奈米的光的浸沒微影製程中的光致抗蝕劑(抑或稱作193i抗蝕劑)。
在某些其中基板包含有機材料的實施例中,電漿增強型原子層沉積製程的反應溫度可小於有機材料可能劣化或斷裂(breakdown)的溫度。在某些其中基板包含有機材料的實施例中,電漿增強型原子層沉積製程的反應溫度可小於約200℃。在某些實施例中,反應溫度可小於約150℃、小於約100℃、小於約75℃或小於約50℃。
在某些其中基板包含有機材料的實施例中,最大製程溫度可低至100℃。在某些其中基板包含有機材料的實施例中,不存在由氧產生的電漿可使得能夠在有機材料上沉積SiOCN薄膜,否則在原本包含由氧產生的電漿的沉積製程中,有機材料可能劣化。在某些實施例中,如本文中所闡述的包含第二反應物(包含呈一種形式或另一種形式的氮電漿、氮自由基、或氮原子)的電漿增強型原子層沉積製程可使得能夠在有機材料上沉積SiOCN薄膜,否則在原本包含產生自氧或氫的電漿的沉積製程中,有機材料可能劣化。在某些實施例中,此種SiOCN薄膜可充當用於有機材料的保護層且可使得能夠藉由原本可能劣化或損壞有機材料的電漿增強型原子層沉積製程沉積另一SiOCN膜。
根據本發明的某些實施例,反應室的壓力在加工期間維持在約0.01托(Torr)至約50托或約0.1托至約10托。在某些實施例中,反應室的壓力大於約6托或約20托。在某些實施例中,可在約20托至約500托、約20托至約50托或約20托至約30托的壓力下執行SiOCN沉積製程。
在某些實施例中,SiOCN沉積製程可包括多個沉積循環,其中至少一個沉積循環是在高壓機制(elevated pressure regime)中執行。舉例而言,電漿增強型原子層沉積製程的沉積循環可包括在高壓條件下使基板交替地且依序地接觸矽前驅物及第二反應物。在某些實施例中,可在約6托至約500托、約6托至約50托或約6托至約100托的製程壓力下執行電漿增強型原子層沉積製程的一個或多個沉積循環。在某些實施例中,可在大於約20托(包括約20托至約500托、約30托至約500托、約40托至約500托或約50托至約500托)的製程壓力下執行所述一個或多個沉積循環。在某些實施例中,可在約20托至約30托、約20托至約100托、約30托至約100托、約40托至約100托或約50托至約100托的製程壓力下執行一個或多個沉積循環。 SiOCN的電漿增強型原子層沉積
如上所述,且如以下所更詳細論述,在某些實施例中,可藉由電漿增強型原子層沉積(PEALD)製程而在反應空間中在基板上沉積SiOCN薄膜。根據某些實施例,利用電漿增強型原子層沉積製程在具有例如鰭型場效電晶體應用中的三維特徵的基板上沉積SiOCN薄膜。在某些實施例中,可在多種應用中使用如本文中所闡述的電漿增強型原子層沉積製程。舉例而言,可在硬遮罩層、犧牲層、保護層、或低k間隔壁的形成中使用本文中所闡述的電漿增強型原子層沉積製程。可在例如記憶體裝置應用中使用如本文中所闡述的電漿增強型原子層沉積製程。
在某些實施例中,可藉由如本文中所闡述的電漿增強型原子層沉積製程在無法不被損壞的情況下承受O電漿的基板(例如,包含有機材料及/或光致抗蝕劑材料的基板)上沉積SiOCN薄膜。
參照圖1且根據某些實施例,藉由包括至少一個循環的PEALD沉積製程100在反應空間中在基板上沉積SiOCN薄膜,所述循環包括: 在步驟120中,使基板接觸氣相含矽前驅物,以使得矽物質吸附至所述基板的表面上; 在步驟130中,自基板表面移除過量的含矽前驅物及反應副產物(若有); 在步驟140中,使基板接觸包含由電漿產生的反應性物質的第二反應物,由此將所吸附的矽物質轉換成SiOCN; 在步驟150中,自基板表面移除過量的第二反應物及反應副產物(若有);以及 在步驟160中,可選地重覆進行各接觸步驟及移除步驟,以形成具有所期望厚度及組成的SiOCN薄膜。
在某些實施例中,步驟140可包括在使基板接觸第二反應物之前遠程地產生或形成電漿或反應性物質。
根據某些實施例,可使用SiOCN電漿增強型原子層沉積沉積循環來沉積SiOCN薄膜。在某些實施例中,藉由包括多個SiOCN沉積循環的原子層沉積型製程來在基板上形成SiOCN薄膜,每一SiOCN沉積循環包括: 使基板接觸氣相矽反應物,以使得矽化合物吸附於基板表面上; 使基板暴露至吹洗氣體及/或真空; 使基板接觸反應性物質,反應性物質藉由在第二反應物中所形成的電漿而產生;以及 使基板暴露至吹洗氣體及/或真空; 可選地重覆進行各接觸步驟及暴露步驟直至獲得具有所期望厚度及組成的SiOCN薄膜為止。
在某些實施例中,使基板暴露至吹洗氣體及/或真空的步驟可包括在停止前驅物或反應物的流動的同時使惰性載氣繼續流動。在某些實施例中,使基板暴露至吹洗氣體及/或真空的步驟可包括停止使前驅物及載氣向反應室中流動並例如藉由真空泵排空反應室。在某些實施例中,使基板暴露至吹洗氣體及/或真空的步驟可包括使基板自第一反應室移動至容納吹洗氣體的不同的第二反應室。在某些實施例中,使基板暴露至吹洗氣體及/或真空的步驟可包括使基板自第一反應室移動至處於真空狀態下的不同的第二反應室。
根據某些實施例,藉由PEALD沉積製程而在反應空間中在基板上沉積SiOCN薄膜,PEALD沉積製程包括至少一個循環,所述循環包括: 使基板接觸(3-胺丙基)三甲氧基矽烷(APTMS),以使得矽物質吸附至基板的表面上; 自基板表面移除過量的(3-胺丙基)三甲氧基矽烷(APTMS)及反應副產物(若有); 使基板接觸包含由電漿產生的反應性物質的第二反應物,其中反應性物質包含氫; 自基板表面移除過量的第二反應物及反應副產物(若有);以及 可選地重覆進行各接觸步驟及移除步驟,以形成具有所期望厚度及組成的SiOCN薄膜。
在某些實施例中,使基板接觸第二反應物可包括在使基板接觸第二反應物之前遠程地產生或形成電漿或反應性物質。
在某些實施例中,藉由包括多個SiOCN沉積循環的原子層沉積型製程在基板上形成SiOCN薄膜,每一SiOCN沉積循環包括:使基板交替地且依序地接觸第一氣相矽前驅物及包含反應性物質的第二反應物。在某些實施例中,所述矽前驅物可包含(3-胺丙基)三甲氧基矽烷(APTMS)且所述第二反應性物質可包含氫。
舉例而言,如以上所論述,在某些實施例中,可在表面(例如有機表面)上沉積SiOCN,所述表面可能因如本文中所述的包含氫電漿來作為第二反應物的電漿增強型原子層沉積製程而劣化。因此,在某些實施例中,用於沉積SiOCN膜的電漿增強型原子層沉積製程可以一定數目的使用自含氮氣體及/或惰性氣體(例如稀有氣體)產生的電漿作為第二反應物的沉積循環開始,以形成可用作有機表面的鈍化層或保護層的第一SiOCN層。可接著使用包含含有氫電漿的第二反應物的沉積循環來在第一SiOCN層上沉積另一SiOCN材料。
在某些實施例中,可藉由包括以下的方法在反應空間中在基板上沉積SiOCN薄膜: 包括兩個或更多個沉積循環的第一電漿沉積製程,所述沉積循環包括: 使基板接觸氣相含矽前驅物,以使得矽物質吸附至基板的表面上; 自基板表面移除過量的含矽前驅物及反應副產物(若有); 使基板接觸包含由源自例如含氮氣體及/或一種或多種稀有氣體等惰性氣體的電漿所產生的反應性物質的第二反應物; 自基板表面移除過量的第二反應物及反應副產物(若有);以及 可選地重覆進行各接觸步驟及移除步驟,以形成具有所期望厚度及組成的第一SiOCN層; 以及包括兩個或更多個沉積循環的第二含氫電漿沉積製程,所述沉積循環包括: 使基板接觸氣相含矽前驅物,以使得矽物質吸附至基板的表面上; 自基板表面移除過量的含矽前驅物及反應副產物(若有); 使基板接觸包含由電漿所產生的反應性物質的第二反應物,其中反應性物質包含氫; 自基板表面移除過量的第二反應物及反應副產物(若有);以及 可選地重覆進行各接觸步驟及移除步驟,以形成具有所期望厚度及組成的第二SiOCN層。
在某些實施例中,第一SiOCN層及第二SiOCN層可不為單獨的層且可形成具有所期望厚度的連續的SiOCN膜。在某些實施例中,第一SiOCN層可以是與第二SiOCN層不同的層。在某些實施例中,第二SiOCN層可具有較第一SiOCN層低的密度。在某些實施例中,相較於藉由不包含H 2電漿的方法而沉積的SiOCN膜,藉由包括第一電漿沉積製程及第二含氫電漿沉積製程的方法而沉積的SiOCN膜可具有更低的密度。
在某些實施例中,第一電漿沉積製程不包含氫電漿且可包括任何數目的沉積循環。然而,在某些實施例中,第一電漿沉積製程可具有足夠的沉積循環來形成足夠厚的SiOCN層,以保護下面的材料不因第二含氫電漿沉積製程而劣化。舉例而言,在某些實施例中,藉由第一電漿沉積製程而沉積的第一SiOCN層的厚度可達約20奈米厚。在某些實施例中,第一SiOCN層可具有約0.1奈米至約3奈米的厚度。在某些實施例中,第一SiOCN層可具有大於或等於約3奈米、大於或等於約4奈米或大於或等於約5奈米的厚度。
在某些實施例中,第一電漿沉積製程可包括大於或等於約5個沉積循環、大於或等於約10個沉積循環、大於或等於約20個沉積循環、大於或等於約50個沉積循環、大於或等於約100個沉積循環或者大於或等於約250個沉積循環。在某些實施例中,第一電漿沉積製程可包括小於或等於約500個沉積循環、小於或等於約250個沉積循環、小於或等於約100個沉積循環、小於或等於約50個沉積循環、小於或等於約30個沉積循環或者小於或等於約20個沉積循環。
在某些實施例中,含氫沉積製程可包括任何數目的沉積循環。在某些實施例中,可獨立選擇含氮電漿沉積製程中的沉積循環數目及含氫電漿沉積製程中的沉積循環數目。
在某些實施例中,基板可包括有機表面。在某些實施例中,基板可包括聚合物表面。舉例而言,在某些實施例中,基板可包含聚醯亞胺、聚醯胺、聚苯乙烯、聚脲或其他此類聚合物。在某些實施例中,聚合物可包括二聚物、三聚物、聚胺甲酸酯、聚硫脲、聚酯或聚胺。在某些實施例中,有機表面可包括以上材料的其他聚合形式或混合物。在某些實施例中,有機材料可包括石墨烯或碳的另一形式。在某些實施例中,有機材料可包括非晶碳。在某些實施例中,非晶碳可含有氫。在某些實施例中,基板可包含光致抗蝕劑材料。在某些實施例中,不存在例如源自大氣的對基板表面的烴污染。
在某些實施例中,基板表面可包含例如光致抗蝕劑等抗蝕劑。亦即,在某些實施例中,基板表面可包含能夠用於例如光刻製程或光雕製程中的光敏性材料。舉例而言,在某些實施例中,基板表面可包含能夠用於極紫外微影製程中的光致抗蝕劑(抑或稱作極紫外抗蝕劑)。在某些實施例中,基板表面可包含能夠用於浸沒微影製程中的光致抗蝕劑,例如能夠用於利用波長為193奈米的光的浸沒微影製程中的光致抗蝕劑(抑或稱作193i抗蝕劑)。
在某些其中基板可包含有機材料的實施例中,藉由第一電漿沉積製程而形成的第一SiOCN層可用作有機材料的保護層。亦即,在某些實施例中,第一SiOCN層可用於在後續製程期間(例如,在第二含氫電漿沉積製程期間)保護有機材料不被劣化或移除。在某些實施例中,第一SiOCN層可用於在第二含氫電漿沉積製程期間防止氫電漿接觸有機材料、使有機材料劣化或移除有機材料。
在某些其中基板包括有機表面的實施例中,如依據有機表面的厚度而量測,包括第一電漿沉積製程及含氫電漿沉積製程的SiOCN沉積方法可能使有機表面的小於約40%、小於約30%、小於約20%、小於約10%、小於約5%或小於約1%被移除或劣化。在某些實施例中,沉積SiOCN的方法實質上可能不自有機表面移除任何材料或使任何材料劣化。
在某些實施例,在反應空間中在基板上形成SiOCN薄膜的方法可包括多個沉積循環,沉積循環包括使基板交替地且依序地接觸氣相含矽前驅物及包含由源自含氮氣體及/或惰性氣體(例如稀有氣體)的電漿所產生的反應性物質的第二反應物。在某些實施例中,所述方法可更包括氫電漿處理循環,氫電漿處理循環包括使基板接觸包含由電漿產生的反應性物質的第二反應物,其中所述反應性物質包含氫,其中可在進行所期望數目的沉積循環之後可選地執行氫電漿處理循環。在某些實施例中,可在氫電漿處理循環之後執行進一步的沉積循環。
在某些實施例中,可每隔n個沉積循環執行一氫電漿處理循環,其中n是整數。在某些實施例中,可每隔1個、5個、10個、25個、50個、100個、500個、2000個或更多個沉積循環執行一氫電漿處理循環。舉例而言,在某些實施例中,在包括50個沉積循環的SiOCN沉積方法中,可每隔5個沉積循環執行一個氫電漿處理循環。在某些實施例中,沉積循環可包含第二反應物,第二反應物包含由源自N 2的電漿產生的反應性物質。
在某些實施例中,可在第一數目的沉積循環(例如,含氮電漿沉積循環)中執行一第一氫電漿處理循環,且可在不同的第二數目的沉積循環中執行一第二氫電漿處理循環。亦即,在某些實施例中,氫電漿處理循環對沉積循環的比率可為1:1至1:2000、1:1至1:500、1:1至1:100、1:1至1:50、1:1至1:25、1:1至1:10、1:1至1:5或1:1至1:2。
在某些實施例中,氫電漿處理循環可包括使基板接觸包含氫的反應性物質達約0.1秒至約20秒。在某些實施例中,所述包含氫的反應性物質接觸基板達約0.1秒至約10秒、0.5秒至約5秒或0.5秒至約2.0秒。在某些實施例中,氫電漿處理循環可包括使基板接觸包含氫的反應性物質達約4秒。然而,視反應器類型、基板類型及基板表面面積、所期望膜特性及其他因素而定,包含氫的反應性物質接觸時間可甚至高於約20秒。在某些實施例中,接觸時間可為大約幾分鐘。熟悉此項技術者可基於特定情況輕易地確定最佳接觸時間。
在某些實施例中,含矽前驅物可包含(3-胺丙基)三甲氧基矽烷。在某些實施例中,沉積循環的第二反應物可包含由源自N 2的電漿產生的反應性物質。在某些實施例中,氫電漿處理循環的第二反應物可包含由源自H 2的電漿產生的物質。
在某些實施例中,在介於約100℃至約650℃、約100℃至約550℃、約100℃至約450℃、約200℃至約600℃之間的溫度下或在約200℃至約400℃的溫度下執行所述電漿增強型原子層沉積製程。在某些實施例中,溫度為約300℃。在某些例如其中基板包含有機材料(例如有機光致抗蝕劑)的實施例中,可在小於約100℃的溫度下執行電漿增強型原子層沉積製程。在某些實施例中,在小於約75℃或小於約50℃的溫度下執行電漿增強型原子層沉積製程。在某些實施例中,可藉由向第二反應物施加射頻功率來產生電漿。射頻功率可被施加至第二反應物以由此產生反應性物質。在某些實施例中,射頻功率可被施加至連續地流經反應室及/或流經遠程電漿產生器的第二反應物。因此,在某些實施例中,在原位產生電漿,而在其他實施例中,則遠程地產生所述電漿。在某些實施例中,被施加至第二反應物的射頻功率為約10瓦至約2000瓦、約100瓦至約1000瓦或約200瓦至約500瓦。在某些實施例中,被施加至第二反應物的射頻功率為約200瓦。在某些實施例中,用於產生含氮電漿的電漿功率可為約500瓦至約1500瓦、約800瓦至約1200瓦。
如以下所更詳細論述,在某些實施例中,為沉積SiOCN膜,一個或多個PEALD沉積循環首先提供矽前驅物,隨後再提供第二反應物。在其他實施例中,沉積可首先提供第二反應物,隨後再提供矽前驅物。熟悉此項技術者將認識到第一前驅物階段一般與前一循環中的最末階段餘留的終止狀態進行反應。因此,儘管若反應性物質階段為第一電漿增強型原子層沉積循環中的第一階段,則沒有反應物可被預先地吸附於基板表面上或存在於反應空間中,然而在後續的電漿增強型原子層沉積循環中,反應性物質階段將有效地跟隨矽階段。在某些實施例中,在用於形成SiOCN薄膜的製程中提供一個或多個不同的電漿增強型原子層沉積子循環。 Si前驅物
在當前揭露的電漿增強型原子層沉積製程中可使用多種適合的Si前驅物。在某些實施例中,至少某些適合用於藉由電漿增強型原子層沉積製程沉積SiOCN的Si前驅物具有以下通式: (1)     Si(OR I) 4-x(R IINR IIIR IV) x其中x=1至4,R I可為獨立選擇的烷基,R II可為獨立選擇的烴基,且R III及R IV可為獨立選擇的烷基及/或氫。在某些實施例中,R I及R II為例如甲基、乙基、正丙基或異丙基等C 1-C 3烷基配位體。在某些實施例中,R I可為例如甲基、乙基、正丙基、異丙基、或第三丁基等C 1-C 4烷基配位體。在某些實施例中,R II不是C 3烴。在某些實施例中,R II是C 1-C 2烴或C 4-C 6烴。在某些實施例中,R II可為不飽和烴(例如含有一個或多個雙鍵的烴)。在某些實施例中,R II可為其中氫中的一者被移除的烷基。在某些實施例中,R III及R IV為氫。在某些實施例中,R I為甲基,R II為正丙基,R III為氫,R IV為氫,且x=1。
舉例而言,Si前驅物可具有化學式(以更詳細的方式書寫來示出鍵接):(R I-O-) 4-xSi(-R II-NR IIIR IV)x,其中x=1至4,R I可為獨立選擇的烷基,R II可為獨立選擇的烴,且R III及R IV可為獨立選擇的烷基及/或氫。
根據某些實施例,某些Si前驅物可具有以下通式: (2)     Si(OR I) 4-x-y-z(R IINR IIIR IV) xH y(OH) z其中x=1至4,y=0至3,且z=0至3,R I及R II可為獨立選擇的烷基,R II可為獨立選擇的烴,且R III及R IV可為獨立選擇的烷基及/或氫。在某些實施例中,R II可為不飽和烴(例如含有一個或多個雙鍵的烴)。在某些實施例中,R II可為其中氫中的一者被移除的烷基。
根據某些實施例,某些Si前驅物可具有以下通式: (3)     L nSi(OR I) 4-x-n(R IINR IIIR IV) x其中n=1至3,x=0至3,R I可為獨立選擇的烷基,R II可為獨立選擇的烴,且R III及R IV可為獨立選擇的烷基及/或氫,且L為獨立選擇的烷基或鹵素。在某些實施例中,R II可為不飽和烴(例如含有一個或多個雙鍵的烴)。在某些實施例中,R II可為其中氫中的一者被移除的烷基。
根據某些實施例,某些Si前驅物可具有以下通式: (4)     L nSi(OR I) 4-x-y-z-n(R IINR IIIR IV) xH y(OH) z其中n=0至3,x=1至4,y=0至3,z=0至3,R I可為獨立選擇的烷基,R II可為獨立選擇的烴,且R III及R IV可為獨立選擇的烷基及/或氫,且L為獨立選擇的烷基或鹵素。在某些實施例中,R II可為不飽和烴(例如含有一個或多個雙鍵的烴)。在某些實施例中,R II可為其中氫中的一者被移除的烷基。
根據某些實施例,某些Si前驅物可具有以下通式: (5)     (R IO) 4-xSi(R II-NH 2) x其中x=1至4,R I可為獨立選擇的烷基,且R II可為獨立選擇的烴。在某些實施例中,R I及R II為例如甲基、乙基、正丙基或異丙基等C 1-C 3烷基配位體。在某些實施例中,R I為甲基,R II為正丙基,且x=1。在某些實施例中,R II可為不飽和烴(例如含有一個或多個雙鍵的烴)。在某些實施例中,R II可為其中氫中的一者被移除的烷基。
根據某些實施例,某些Si前驅物可具有以下通式: (6)     (R IO) 3Si-R II-NH 2其中,R I可為獨立選擇的烷基,且R II可為獨立選擇的烴。在某些實施例中,R I及R II為例如甲基、乙基、正丙基或異丙基等C 1-C 3烷基配位體。在某些實施例中,R II可為不飽和烴(例如含有一個或多個雙鍵的烴)。在某些實施例中,R II可為其中氫中的一者被移除的烷基。
根據某些實施例,某些Si前驅物可具有以下通式: (7)     (R IO) 4-xSi(-[CH 2] n-NH 2) x其中x=1至4,n=1至5,且R I可為獨立選擇的烷基。在某些實施例中,R I為例如甲基、乙基、正丙基或異丙基等C 1-C 4烷基配位體。在某些實施例中,R I為甲基,且x=1。
在某些實施例中,矽前驅物不包含鹵素。在某些實施例中,矽前驅物可包含至少一個胺基烷基配位體。根據某些實施例,適合的矽前驅物可包含至少一個藉由碳而鍵接至矽且含有至少一個附接至碳鏈的NH 2基的配位體,例如胺基烷基配位體。根據某些實施例,適合的矽前驅物可包含至少一個藉由碳而鍵接至矽且含有附接至碳鏈的NH 2基的配位體,例如胺基烷基配位體,且亦可包含至少一個藉由氧原子而鍵接至矽且其中烷基鍵接至氧的配位體,例如醇鹽配位體。根據某些實施例,適合的矽前驅物可包含至少一個藉由碳而鍵接至矽且含有附接至碳鏈的至少一個NR IIIR IV基的配位體,例如胺基烷基配位體,其中R III及R IV可為獨立選擇的烷基及/或氫。根據某些實施例,適合的矽前驅物可包含至少一個藉由碳而鍵接至矽的配位體,且在此配位體中至少一個氮鍵接至碳。此外,所述藉由碳而鍵接至矽的一個配位體且其中至少一個氮鍵接至碳的此配位體可包含鍵接至氮的氫。根據某些實施例,除藉由碳而鍵接至矽的配位體以外,適合的矽前驅物亦可包含例如甲氧基、乙氧基、正丙氧基、異丙氧基或第三丁氧基配位體等烷氧基配位體。根據某些實施例,包括以上化學式中的某些化學式,適合的矽前驅物包含藉由碳而鍵接至矽的碳鏈,且其中存在附接至所述碳鏈的胺基(例如烷基胺基或-NH 2基)並且所述碳鏈為直鏈的、支鏈的、或環鏈的、僅含有碳及氫的C 1-C 6烴、C 2-C 6烴、或C 2-C 4烴。在某些實施例中,所述碳鏈可為不飽和的且含有碳碳雙鍵。在某些其他實施例中,所述碳鏈可含有除碳及氫之外的其他原子。
根據某些實施例,適合的矽前驅物可至少包括具有通式(1)至(7)中的任一者的化合物。在某些實施例中,鹵化物/鹵素可包含F、Cl、Br及I。在某些實施例中,矽前驅物可包含(3-胺丙基)三甲氧基矽烷(APTMS)。
在某些實施例中,多於一種矽前驅物可在原子層沉積階段期間同時接觸基板表面。在某些實施例中,矽前驅物可包括多於一種本文中所述的矽前驅物。在某些實施例中,在第一原子層沉積循環中使用第一矽前驅物且在隨後的原子層沉積循環中使用不同的第二原子層沉積前驅物。在某些實施例中,可例如在單個原子層沉積階段期間使用多種矽前驅物,以使所沉積的SiOCN膜的某些屬性最佳化。在某些實施例中,僅一種矽前驅物可在沉積期間接觸基板。在某些實施例中,在沉積製程中可僅存在一種矽前驅物及一種第二反應物或第二反應物的組成。在某些實施例中,在沉積製程中不存在金屬前驅物。在某些實施例中,不使用矽前驅物作為矽烷化劑(silylating agent)。在某些實施例中,選擇矽前驅物接觸步驟的沉積溫度及/或持續時間,以使得矽前驅物不分解。在某些實施例中,矽前驅物可在矽前驅物接觸步驟期間分解。在某些實施例中,矽前驅物不包含例如氯或氟等鹵素。 第二反應物
如以上所論述,用於沉積根據本發明的SiOCN的第二反應物可包含氫前驅物,氫前驅物可包含反應性物質。在某些實施例中,反應性物質包括但不僅限於自由基、電漿及/或激發原子或物質。此類反應性物質可藉由例如電漿放電方法、熱線(hot-wire)方法或其他適合的方法來產生。在某些實施例中,反應性物質可遠離反應室遠程地(例如,在相對於反應室的上游)產生(「遠程電漿」)。在某些實施例中,反應性物質可產生於反應室中或基板的緊鄰之處或直接地產生於基板上方(「直接電漿」)。
電漿增強型原子層沉積製程的適合的電漿組成包含氫反應性物質,即呈一種形式或另一種形式的氫電漿、氫自由基、或氫原子。在某些實施例中,第二反應物可包含至少部分地自H 2形成的反應性物質。在某些實施例中,亦提供呈一種形式或另一種形式的氮電漿、氮自由基或原子氮的氮反應性物質。並且在某些實施例中,電漿亦可含有呈電漿形式、作為自由基或呈原子形式的例如He、Ne、Ar、Kr及Xe或者Ar或He等稀有氣體。在某些實施例中,第二反應物不包含產生自氧的任何物質。因此,在某些實施例中,反應性物質並非產生自含氧氣體。在某些實施例中,包含反應性物質的第二反應物產生自不含有氧的氣體。舉例而言,在某些實施例中,第二反應物可含產生自不含有氧的氣體的電漿。在某些實施例中,第二反應物可產生自含有小於約1原子%(at%)的氧、小於約0.1原子%的氧、小於約0.01原子%的氧或小於約0.001原子%的氧的氣體。在某些實施例中,第二反應物不包含O 2、H 2O或O 3
因此,在某些實施例中,第二反應物可包含由具有N及H二者的化合物(例如NH 3及N 2H 4)、N 2/H 2的混合物或其他具有N-H鍵的前驅物而形成的反應性物質。在某些實施例中,第二反應物可至少部分地由N 2形成。在某些實施例中,所述第二反應物可至少部分地由H 2及N 2形成,其中H 2及N 2是以約100:1至約1:100、約20:1至約1:20、約10:1至約1:10、約5:1至約1:5、及/或約2:1至約4:1及在某些情形中約1:1的流動速率(H 2/N 2)來提供。舉例而言,可以本文中所述的一個或多個速率利用N 2及H 2二者來產生用於沉積SiOCN的含氫電漿。
在某些實施例中,氫電漿可無或實質上無含氮物質(例如,氮離子、氮自由基、氮原子)。舉例而言,含氮氣體不被用於產生氫電漿。在某些實施例中,含氮氣體(例如,N 2氣體)不在氫電漿步驟期間流動至所述反應室中。
在某些實施例中,第二反應物可包含由含N化合物形成的反應性物質。在某些實施例中,第二反應物可由N 2形成。亦即,在某些實施例中,反應性物質產生自含氮氣體(例如N 2)。在某些實施例中,第二反應物可包含產生自N 2的反應性物質。在某些實施例中,第二反應物為產生自N 2的反應性物質。
在某些實施例中,第二反應物可包含由源自例如稀有氣體(例如Ar或He)等惰性氣體的電漿而形成的反應性物質。在某些實施例中,第二反應物可包含由源自例如含氮氣體及稀有氣體(例如Ar或He)等一種或多種氣體的電漿而形成的反應性物質。在某些實施例中,第二反應物不包含氫。在某些實施例中,所述第二反應物不包含由含H化合物形成的反應性物質。
在某些實施例中,氫電漿可無或實質上無含氧物質(例如,氧離子、氧自由基、原子氧)。舉例而言,含氧氣體不被用於產生氫電漿。在某些實施例中,含氧氣體(例如,O 2氣體)不在氫電漿步驟期間流動至所述反應室中。
在某些實施例中,第二反應物不包含產生自氮的任何物質。因此,在某些實施例中,反應性物質並非產生自含氮氣體。在某些實施例中,包含反應性物質的第二反應物產生自不含有氮的氣體。舉例而言,在某些實施例中,第二反應物可包含產生自不含有氮的氣體的電漿。在某些實施例中,所述第二反應物可產生自含有小於約1原子%(at%)的氮、小於約0.1原子%的氮、小於約0.01原子%的氮或小於約0.001原子%的氮的氣體。在某些實施例中,第二反應物不包含N 2、NH 3或N 2H 4
在某些實施例中,含氧氣體不被用於產生氫電漿。在某些實施例中,含氧氣體(例如,O 2氣體)不在氫電漿步驟期間流動至所述反應室中。
在某些實施例中,用於產生例如電漿等反應性物質的氣體可本質上由氫氣組成。在某些實施例中,用於產生例如電漿等反應性物質的氣體可本質上由氮氣組成。在某些實施例中,用於產生例如電漿等反應性物質的氣體可本質上由氬氣或另一稀有氣體組成。在某些實施例中,用於產生含氫電漿的電漿功率可為約10瓦(W)至約2,000瓦、約50瓦至約1000瓦、約100瓦至約1000瓦或約100瓦至約500瓦。在某些實施例中,用於產生含氫電漿的電漿功率可為約100瓦至約300瓦。在某些實施例中,電漿功率可在一定數目的沉積循環期間逐漸地或遞增地增大或減小。舉例而言,在某些實施例中,對於第一數目的沉積循環,用於產生含氫電漿的電漿功率可為約100瓦,且對於第二數目的沉積循環,電漿功率可增大至200瓦,且對於第三數目的沉積循環,電漿功率可進一步增大至400瓦。 SiOCN膜特性
根據本文中所論述的實施例中的某些實施例而沉積的SiOCN薄膜可達成低於約3原子%、低於約1原子%、低於約0.5原子%或低於約0.1原子%的雜質能階(impurity level)或濃度。在某些薄膜中,除氫之外的總雜質能階可低於約5原子%、低於約2原子%、低於約1原子%或低於約0.2原子%。並且在某些薄膜中,氫能階可低於約30原子%、低於約20原子%、低於約15原子%或低於約10原子%。本文中所使用的「雜質」可被視為除Si、O、C及/或N之外的任何元素。
在某些實施例中,所沉積的SiOCN膜不包含可觀的量的氫。然而,在某些實施例中,沉積包含氫的SiOCN膜。在某些實施例中,所沉積的SiOCN膜包含小於約30原子%、小於約20原子%、小於約15原子%、小於約10原子%或小於約5原子%的氫。在某些實施例中,薄膜不包含氬。
根據某些實施例,所述SiOCN薄膜可展示出大於約50%、大於約80%、大於約90%或大於約95%的階梯覆蓋率(step coverage)及圖案加載效應(pattern loading effect)。在某些情形中,階梯覆蓋率及圖案加載效應可大於約98%,且在某些情形中為約100%(在量測工具或量測方法的準確度之內)。在某些實施例中,階梯覆蓋率及圖案加載效應可大於約100%、大於約110%、大於約120%、大於約130%或大於約140%。該些值可於具有為2或大於2的縱橫比、在某些實施例中以約3或大於3的縱橫比、在某些實施例中以約5或大於5的縱橫比且在某些實施例中以約8或大於8的縱橫比的特徵中達成。
在某些實施例中,所述階梯覆蓋率可在約50%與約110%之間、約80%與約110%之間、約90%與約110%之間、約95%與110%之間、約98%與110%之間或約100%與110%之間。在某些實施例中,所述階梯覆蓋率可在約50%與約100%之間、約80%與約100%之間、約90%與約100%之間、約95%與100%之間或約98%與100%之間。
在某些實施例中,膜的成長速率為約0.01埃(Å)/循環至約5埃/循環、約0.05埃/循環至約2埃/循環。在某些實施例中,膜的成長速率大於約0.05埃/循環、大於約0.1埃/循環、大於約0.15埃/循環、大於約0.3埃/循環、大於約0.4埃/循環。本文中所使用的「圖案加載效應」是根據此領域中的通常意義來使用。儘管可看出「圖案加載效應」是關於雜質含量、密度、電屬性及蝕刻速率,然而除非另外指出,否則當在本文中使用時,用語「圖案加載效應」指代在基板的存在結構的區域中膜厚度的變化。因此,圖案加載效應可被表示為三維結構內的特徵的側壁或底部中的膜厚度相對於面對開放領域的三維結構/特徵的側壁或底部上的膜厚度的比值。本文中所使用的100%圖案加載效應(或比率1)將表示關於整個基板的完全均勻的膜屬性而不論特徵如何,即,換言之,不存在圖案加載效應(特徵中的特定膜屬性(例如厚度)相對於開放領域中的特定膜屬性(例如厚度)的變化)。
在某些實施例中,SiOCN膜被沉積至約3奈米至約50奈米、約5奈米至約30奈米、約5奈米至約20奈米的厚度。該些厚度可達成低於約100奈米、約50奈米、低於約30奈米、低於約20奈米,且在某些情形中低於約15奈米的特徵大小(寬度)。根據某些實施例,SiOCN膜沉積於三維結構上且側壁處的厚度可甚至稍微大於10奈米。在某些實施例中,可沉積大於50奈米的SiOCN膜。在某些實施例中,可沉積大於100奈米的SiOCN膜。在某些實施例中,SiOCN被沉積至大於約1奈米、大於約2奈米、大於約3奈米、大於約5奈米、大於約10奈米的厚度。根據某些實施例,可沉積具有各種濕蝕刻速率(wet etch rates,WER)的SiOCN膜。當使用在0.5重量%(wt%)的稀釋氫氟酸中的全面性(blanket)濕蝕刻速率(奈米/分鐘)時,SiOCN膜可具有小於約5、小於約4、小於約2或小於約1的濕蝕刻速率值。在某些實施例中,SiOCN膜可具有顯著地小於1的濕蝕刻速率值。在某些實施例中,SiOCN膜可具有小於約0.3、小於約0.2、或小於約0.1的濕蝕刻速率值。在某些實施例中,SiOCN膜可具有小於約0.05、小於約0.025或小於約0.02的濕蝕刻速率值。
0.5重量%的稀釋氫氟酸中的全面性濕蝕刻速率(奈米/分鐘)相對於熱氧化物的濕蝕刻速率的比值可小於約3、小於約2、小於約1及小於約0.5。在某些實施例中,0.5重量%的稀釋氫氟酸中的全面性濕蝕刻速率相對於熱氧化物的濕蝕刻速率的比值可小於約0.1。
在某些其中在小於約100℃的溫度下施行電漿增強型原子層沉積製程的實施例中,0.5重量%的稀釋氫氟酸中的全面性濕蝕刻速率(奈米/分鐘)相對於熱氧化物的濕蝕刻速率的比值可小於約10、小於約5、小於約3、及小於約2或小於約1。
並且在某些實施例中,在0.5重量%的稀釋氫氟酸中,側壁蝕刻速率(例如,在三維特徵(例如鰭片或溝槽)上沉積的SiOCN膜的濕蝕刻速率)相對於在三維特徵(例如鰭片或溝槽)的頂表面上沉積的SiOCN膜的蝕刻速率的比率可為約1至約2、約2至約5、約5至約10、約10至約20或在某些情形中大於或等於約20。在某些實施例中,在三維特徵上沉積的SiOCN膜的濕蝕刻速率對在三維特徵的頂表面上沉積的SiOCN膜的濕蝕刻速率的比率可等於或大於約2、等於或大於約5、等於或大於約10、等於或大於約15或者等於或大於約20。
在某些實施例中,在三維特徵的實質上垂直的表面(例如側壁表面)上或三維特徵的實質上垂直的表面(例如側壁表面)中沉積的SiOCN膜的濕蝕刻速率對在三維特徵的實質上水平的表面(例如頂表面)上或三維特徵的實質上水平的表面(例如頂表面)中沉積的SiOCN膜的濕蝕刻速率的比率可為約1至約0.5、約0.5至約0.2、約0.2至約0.1、約0.1至約0.05或在某些情形中小於約0.05。在某些實施例中,在三維特徵的實質上垂直的表面上沉積的SiOCN膜的濕蝕刻速率對在三維特徵的實質上水平的表面上沉積的SiOCN膜的濕蝕刻速率的比率可等於或小於約0.5、等於或小於約0.2、等於或小於約0.1或者等於或小於約0.05。
在某些實施例中,根據本文中所闡述的製程而沉積的SiOCN的濕蝕刻速率對熱氧化物的濕蝕刻速率的比率可介於約5至約10之間、約2至約5之間、約1至約2之間、約0.5至約1之間或約0.1至約0.5之間。在某些實施例中,根據本文中所闡述的製程而沉積的SiOCN的濕蝕刻速率對熱氧化物的濕蝕刻速率的比率可大於或等於約0.1、大於或等於約0.5、大於或等於約1、大於或等於約2、大於或等於約5或者大於或等於約10。
在某些實施例中,根據本文中所闡述的一個或多個製程而形成的SiOCN例如在0.5重量%的稀釋氫氟酸中可有利地展現出為約1的實質上垂直的區的濕蝕刻速率對實質上水平的區的濕蝕刻速率的比率。舉例而言,形成於基板表面上的三維結構的實質上垂直的表面(例如,側壁表面)之上的SiOCN薄膜的濕蝕刻速率對形成於基板表面上的三維結構的實質上水平的表面(例如,頂表面)之上的SiOCN薄膜的濕蝕刻速率的比率可為相同的或實質上相同的。在某些實施例中,所述比率可為約4至約0.5、約2至約0.75、約1.25至約0.8或約1.1至約0.9。該些比率可於具有約2或大於2、約3或大於3、約5或大於5或者甚至約8或大於8的縱橫比的特徵中達成。
在某些實施例中,根據本發明的SiOCN膜的蝕刻量可較0.5%氫氟酸浸漬製程中的熱SiO 2(熱氧化物)的所觀察到的蝕刻量小約1倍、2倍、5倍、10倍或更多倍(例如在其中約2奈米至約3奈米的熱氧化物被移除的製程中,當根據本文中所揭露的方法進行沉積時,移除小1倍、2倍、5倍、10倍或更多倍的SiOCN)。
在某些實施例中,可在蝕刻時間為5分鐘的0.5%氫氟酸浸漬製程中移除小於約2奈米的SiOCN膜。在某些實施例中,可在蝕刻時間為60分鐘的0.5%氫氟酸浸漬製程中移除小於約2奈米的SiOCN膜。
為簡潔起見且由於難以對氫進行準確地量化分析,因此除非另外指出,否則本文中所提供的所有原子百分比(即,原子%)值排除了氫。然而,在某些實施例中,若可能以合理的準確度來分析氫,則所述膜的氫含量小於約20原子%、小於約10原子%、或小於約5原子%。在某些實施例中,按原子基準(原子%),所沉積的SiOCN薄膜可含有達約70%的氧。在某些實施例中,按原子基準,SiOCN膜可包含約10%至約70%、約15%至約50%或約20%至約40%的氧。在某些實施例中,按原子基準,SiOCN膜可包含至少約20%、約40%或約50%的氧。
在某些實施例中,按原子基準(原子%),所沉積的SiOCN薄膜可含有達約40%的碳。在某些實施例中,按原子基準,SiOCN膜可包含約0.5%至約40%、約1%至約30%或約5%至約20%的碳。在某些實施例中,按原子基準,SiOCN膜可包含至少約1%、約10%或約20%的碳。
在某些實施例中,按原子基準(原子%),所沉積的SiOCN薄膜可含有達約30%的氮。在某些實施例中,按原子基準,SiOCN膜可含有約0.51%至約30%、約1%至約20%或約3%至約15%的氮。在某些實施例中,按原子基準,SiOCN膜可包含至少約1%、約5%或約10%的氮。
在某些實施例中,按原子基準(原子%),所沉積的SiOCN薄膜可含有達約50%的矽。在某些實施例中,按原子基準,SiOCN膜可包含約10%至約50%、約15%至約40%或約20%至約35%的矽。在某些實施例中,按原子基準,SiOCN膜可包含至少約15%、約20%、約25%或約30%的矽。
在某些實施例中,所沉積的SiOCN薄膜可包含約30原子%至約40原子%的矽、約25原子%至約40原子%的氧、約10原子%至約20原子%的碳及約10原子%的氮。在某些實施例中,所沉積的SiOCN膜可包含約33%的矽及約67%的氧。如以上所論述,在某些實施例中,SiOCN膜可包含Si-C鍵、Si-O鍵及/或Si-N鍵。在某些實施例中,SiOCN膜可包含Si-C鍵及Si-O鍵且可不包含Si-N鍵。在某些實施例中,SiOCN膜可包含Si-N鍵及Si-O鍵且可不包含Si-C鍵。在某些實施例中,SiOCN膜可包含Si-N鍵及Si-C鍵且可不包含Si-O鍵。在某些實施例中,所述SiOCN膜可包含的Si-O鍵多於Si-C鍵,舉例而言,Si-O鍵對Si-C鍵的比率可為約1:1至約10:1。在某些實施例中,所沉積的SiOCN膜可包含SiN、SiO、SiC、SiCN、SiON及/或SiOC中的一者或多者。
在某些實施例中,SiOCN膜不是低k膜,舉例而言,SiOCN膜不是多孔膜。在某些實施例中,SiOCN是連續的膜。在某些實施例中,SiOCN膜具有小於約10的k值。在某些實施例中,SiOCN膜具有小於約7的k值。在某些實施例中,SiOCN膜具有約3.9至約10的k值。在某些實施例中,SiOCN膜具有小於約5.5、小於約5.0、小於約4.8、小於約4.6的k值。在某些實施例中,SiOCN膜具有約3.8至約7、約3.8至約5.5、約3.8至約5.0、約4.0至約4.8、約4.1至約4.7的k值。在某些實施例中,SiOCN膜具有較任何低k膜的k值大的k值。在某些實施例中,SiOCN膜具有較純SiO 2大的k值。
在某些實施例中,根據本發明而沉積的SiOCN膜不包括積層(laminate)結構或奈米積層(nanolaminate)結構。
在某些實施例中,根據本發明而沉積的SiOCN膜不是自組裝單層(self-assembled monolayer,SAM)。在某些實施例中,根據本發明而沉積的SiOCN膜不由彼此不進行鍵接的單獨的個別分子組成。在某些實施例中,根據本發明而沉積的SiOCN膜包含實質上鍵接於一起或鏈接於一起的材料。在某些實施例中,根據本發明而沉積的SiOCN膜不是功能性層、不是胺基功能化的(amino-functionalized)及/或不被用作功能性表面。在某些實施例中,根據本發明而沉積的SiOCN膜不以-NH 2基終止。在某些實施例中,根據本發明而沉積的SiOCN膜不含有大量-NH 2基。 整合
在某些實施例中,如本文中所闡述的SiOCN薄膜沉積製程可用於形成SiOCN薄膜,所述SiOCN薄膜用於例如在例如自對準圖案化(self-aligned patterning)製程等多重圖案化(multiple patterning)製程中使用。在某些實施例中,作為多重圖案化製程的一部分,可在包含有機材料的基板上形成或沉積SiOCN薄膜,有機材料例如為包含光致抗蝕劑的經圖案化有機材料。在某些實施例中,可使用如本文中所闡述的SiOCN沉積製程作為間隔壁界定雙重圖案化(spacer-defined double patterning,SDDP)製程的或間隔壁界定四重圖案化(spacer-defined quadruple patterning,SDQP)製程的一部分。
作為實例,在直接間隔壁界定雙重圖案化製程中,SiOCN是藉由如本文中所闡述且根據某些實施例的電漿增強型原子層沉積製程而在反應空間中共形地沉積於包括圖案化抗蝕劑層(例如包含三維抗蝕特徵的圖案化光致抗蝕劑層)的基板上。藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積的SiOCN膜具有平滑的邊界,平滑的邊界具有抗蝕特徵,且藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積的SiOCN膜不顯著地損壞抗蝕特徵、使抗蝕特徵劣化或使抗蝕特徵變形。可接著定向地蝕刻共形的且平滑的SiOCN膜,以使得SiOCN自抗蝕特徵的表面及基板的水平表面被移除,而僅餘留沉積於抗蝕特徵的側壁上或自抗蝕特徵的側壁延伸的SiOCN。可接著藉由蝕刻製程移除抗蝕劑,而餘留下所述SiOCN間隔壁。
在某些實施例中,基板包括位於矽基板上的熱SiO 2層。在某些實施例中,基板包括位於矽基板上的矽系層(例如Si、SiO 2或SiN x層)。在某些實施例中,基板包括犧牲層。在某些實施例中,基板包含聚合物或例如光致抗蝕劑材料等抗蝕劑材料。在某些實施例中,基板包括至少一個特徵,例如三維提升特徵(three-dimensional raised feature)。在實施例中,特徵包括抬升結構(elevated structure),抬升結構包括實質上垂直的側壁。在本發明的某些實施例中,所述特徵包括圖案化光致抗蝕劑膜的特徵。
作為又一實例,在直接間隔壁界定四重圖案化製程中,第一SiOCN膜藉由根據某些實施例的電漿增強型原子層沉積製程而在反應空間中共形地沉積於包括圖案化抗蝕劑層(例如包括三維抗蝕特徵的圖案化光致抗蝕劑層)的基板上,電漿增強型原子層沉積製程包含第二反應物,第二反應物包含產生自N 2的反應性物質。然而,在某些實施例中,可藉由包括以下沉積循環的製程來沉積SiOCN膜:包含第二反應物的第一數目的沉積循環,第二反應物包含由源自含氮氣體及/或惰性氣體(例如稀有氣體)的電漿產生的反應性物質;以及包含氫電漿來作為第二反應物的第二數目的沉積循環。舉例而言,可藉由包括以下沉積循環的製程來沉積SiOCN膜:包含氮電漿來作為第二反應物的第一數目的沉積循環及包含氫電漿來作為第二反應物的第二數目的沉積循環。
藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積的第一SiOCN膜具有平滑的邊界,所述平滑的邊界具有所述抗蝕特徵,且藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積的第一SiOCN膜不顯著地損壞所述抗蝕特徵、使所述抗蝕特徵劣化或使所述抗蝕特徵變形。可接著定向地蝕刻所述共形的且平滑的第一SiOCN膜,以使得SiOCN自所述抗蝕特徵的表面及基板的水平表面被移除,而僅餘留沉積於所述抗蝕特徵的側壁上或自抗蝕特徵的側壁延伸的所述SiOCN。可接著藉由蝕刻製程移除抗蝕劑,而餘留下第一SiOCN間隔壁。
可使此第一SiOCN特徵經歷化學機械拋光(chemical mechanical polish,CMP),以提供實質上平的頂表面。藉由根據某些實施例的電漿增強型原子層沉積製程而在反應空間中在包括第一SiOCN特徵的基板上共形地沉積第二SiOCN膜,電漿增強型原子層沉積製程包含第二反應物,第二反應物包含產生自H 2的反應性物質。可接著定向地蝕刻共形的且平滑的第二SiOCN膜,以使得SiOCN自第一SiOCN特徵的表面及基板的水平表面被移除,而僅餘留沉積於所述第一SiOCN特徵的側壁上或自第一SiOCN特徵的側壁延伸的SiOCN。可接著移除第一SiOCN膜,而餘留下SiOCN間隔壁。
如圖6中所示,相較於藉由實質上相似的包含含氧電漿的電漿增強型原子層沉積製程而沉積的SiOCN膜602,藉由如本文中所闡述且根據某些實施例的電漿增強型原子層沉積製程而在反應空間中共形地沉積於包括圖案化有機層(例如包含三維抗蝕特徵的圖案化光致抗蝕劑層)的基板上的SiOCN膜601可不顯著地損壞抗蝕特徵、使抗蝕特徵劣化或使抗蝕特徵變形。在某些實施例中,SiOCN膜可沉積於有機材料(例如,三維抗蝕特徵)上而不實質上使所述三維抗蝕特徵的結構或形狀變形。舉例而言,可根據某些實施例來沉積SiOCN膜且可不會導致在其上沉積SiOCN膜的三維抗蝕特徵的邊緣的顯著圓化(rounding)。 實例1
藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積了示例性SiOCN薄膜。沉積溫度為300℃且使用了(3-胺丙基)三甲氧基矽烷來作為矽前驅物。藉由向第二反應物施加200瓦的射頻功率而產生了電漿。使用了H 2與N 2的混合物來作為第二反應物,第二反應物被供應以Ar載氣。圖2說明相較於熱氧化物,藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積的SiOCN膜的每循環成長率(埃/循環)、折射率及濕蝕刻速率比率隨第二反應物氣體比率的變化。第二反應物氣體比率沿圖2所示的X軸示出,且表示第二反應物中N 2對H 2及N 2二者的比率(N 2:(H 2+N 2))。
如可在圖2中看出,SiOCN膜的成長速率隨第二反應物中的N 2:(H 2+N 2)比率的增大而增大。所沉積的膜的折射率隨第二反應物中的N 2:(H 2+N 2)比率的增大而減小。觀察到所沉積的SiOCN膜的濕蝕刻速率對熱氧化物的濕蝕刻速率的比率(相對於TOX的WERR)隨第二反應物中的N 2:(H 2+N 2)比率的增大而增大。顯著地觀察到以為50%及0%(第二反應物中不存在N 2)的N 2:(H 2+N 2)比率而沉積的SiOCN膜的相對於TOX的WERR小於1。在不受任一理論束縛的條件下,據認為,第二反應物中存在H 2使得所沉積的SiOCN薄膜中具有高的耐濕化學性。
圖3說明對於藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積的SiOCN薄膜及熱氧化物二者的蝕刻量與蝕刻時間的相對關係。蝕刻製程為0.5%氫氟酸浸漬製程。如可在圖3中看出,所沉積的SiOCN所展示出的耐蝕刻性顯著大於熱氧化物。在0.5%氫氟酸中進行持續60分鐘的浸漬之後,移除了小於2奈米的SiOCN膜。
利用X射線光電子光譜學(X-ray photoelectron spectroscopy,XPS)對藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積的SiOCN膜的組成進行了分析。沉積溫度為300℃且使用了(3-胺丙基)三甲氧基矽烷作為矽前驅物。結果如以下表1中所示。辨識出兩種不同的Si鍵接能量,此指示在所沉積的膜中存在Si-C鍵及Si-O鍵。
深度(Å) O N C Si SiC Si SiOCN
0 46.3 5.3 18.6 5.3 24.5
25 41.4 9.0 11.5 6.7 31.5
50 41.5 8.8 11.0 7.0 31.7
75 41.0 8.9 11.0 5.3 33.8
100 41.9 8.9 10.7 6.3 32.3
125 42.0 9.3 10.0 5.9 32.8
150 43.0 8.1 10.7 5.7 32.5
175 43.9 8.3 9.7 4.7 33.4
200 44.5 8.2 9.0 5.9 32.4
225 45.0 8.3 9.1 5.2 32.4
250 46.0 7.9 8.4 4.1 33.6
275 47.3 7.5 8.3 5.0 31.8
300 47.8 7.4 7.5 4.6 32.8
1 藉由X射線光電子光譜學量測的膜組成
圖4亦說明藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積的示例性SiOCN膜的膜組成隨深度的變化。 實例2
圖5A及圖5B分別是示出形成於溝槽結構上的SiOCN膜在稀釋氫氟酸濕蝕刻溶液中進行持續2分鐘的浸漬之前及之後的剖視圖的掃描式電子顯微術(scanning electron microscopy,SEM)影像。根據如本文中所闡述的電漿增強型原子層沉積製程而形成了圖5A及圖5B所示的SiOCN膜。沉積溫度為300℃且使用了(3-胺丙基)三甲氧基矽烷作為矽前驅物。藉由向包含H 2的第二反應物施加400瓦的射頻功率而產生了電漿。電漿脈衝時間為8秒。圖5C及圖5D分別是示出形成於溝槽結構上的SiOCN膜在稀釋氫氟酸濕蝕刻溶液中進行持續2分鐘的浸漬之前及之後的剖視圖的掃描式電子顯微術(SEM)影像。根據如本文中所闡述的電漿增強型原子層沉積製程而形成了圖5C及圖5D所示的SiOCN膜。沉積溫度為300℃且使用了(3-胺丙基)三甲氧基矽烷作為矽前驅物。藉由向包含H 2及N 2的第二反應物施加400瓦的射頻功率而產生了電漿。電漿脈衝時間為8秒。
如圖5A及圖5C中所示,相較於利用使用包含H 2及N 2的第二反應物的電漿增強型原子層沉積製程而形成的SiOCN膜,利用使用不包含N 2的第二反應物的電漿增強型原子層沉積製程而形成的SiOCN膜在進行所述濕蝕刻浸漬之前展現出改善的共形性。使用不包含N 2的第二反應物而形成的SiOCN膜具有為114%至136%的階梯覆蓋率,而使用包含H 2及N 2的第二反應物而形成的SiOCN膜具有為54%的階梯覆蓋率。如圖5B及圖5D中所示,利用不包含N 2的第二反應物而形成的SiOCN膜的共形性在所述濕蝕刻浸漬後仍得以維持,而利用包含H 2及N 2的第二反應物而形成的SiOCN膜的共形性降低。
另外,利用不包含N 2的第二反應物而形成的SiOCN膜在所述膜的水平區處所展現出的相對於熱氧化物的濕蝕刻速率比率(相對於TOX的WERR)為0.2且在所述膜的垂直區(側壁表面)處所展現出的相對於TOX的WERR為1.0。利用包含H 2及N 2的第二反應物而形成的SiOCN膜在所述膜的沉積於溝槽結構的頂部上的水平區處所展現出的相對於熱氧化物的濕蝕刻速率比率(相對於TOX的WERR)為2.0,在所述膜的沉積於溝槽結構的底部上的區處所展現出的相對於TOX的WERR為1.4,且在所述膜的垂直區(側壁表面)處所展現出的相對於TOX的WERR為1.6。 實例3
根據本文中所闡述的方法沉積了示例性SiOCN膜。藉由根據某些實施例的電漿增強型原子層沉積製程而沉積了SiOCN膜,所述電漿增強型原子層沉積製程包括多個沉積循環及在給定數目的沉積循環之後重覆進行的氫電漿循環,多個沉積循環包含(3-胺丙基)三甲氧基矽烷來作為矽前驅物且包含第二反應物,所述第二反應物包含由源自N 2的電漿產生的反應性物質。氫電漿循環包括使基板接觸由源自H 2的電漿產生的反應性物質達約4秒。
藉由上述方法製備了樣本SiOCN膜,上述方法包括在每隔3個沉積循環之後、每隔5個沉積循環之後及每隔10個沉積循環之後重覆進行一氫電漿循環。據發現,相較於藉由不包括氫電漿循環的方法而沉積的膜,包括氫電漿循環使得總的膜厚度減小了約20%至40%。對樣本SiOCN膜的折射率進行了量測且發現相較於藉由不包括氫電漿循環的方法而沉積的膜,樣本SiOCN膜的折射率低了約0.1至0.2。亦發現,每循環成長率(GPC)隨各氫電漿循環之間的沉積循環的數目的增大而近似線性地增大。
亦發現,樣本SiOCN膜較以根據相似的沉積方法(包含由源自H 2的電漿產生的反應性物質的第二反應物但不包括氫電漿循環)而沉積的樣本SiOCN膜厚。
如上所述製備了另一樣本SiOCN膜,氫電漿循環所具有的接觸時間為20秒。據發現,此樣本具有較根據所包含的氫電漿接觸時間為4秒的方法而製備的樣本膜低的折射率(約1.45)。 實例4
在表面包含聚醯亞胺膜的基板上沉積了樣本SiOCN膜。藉由如本文中所闡述的電漿增強型原子層沉積方法而沉積了所述樣本SiOCN膜,電漿增強型原子層沉積方法包括第一含氮電漿沉積步驟及第二含氫電漿沉積步驟。第一含氮電漿沉積步驟使用(3-胺丙基)三甲氧基矽烷來作為矽前驅物且使用第二反應物來形成第一SiOCN層,所述第二反應物包含由源自N 2的電漿產生的反應性物質。第二含氫電漿沉積步驟包含(3-胺丙基)三甲氧基矽烷來作為矽前驅物且包含第二反應物來形成第二SiOCN層,所述第二反應物包含由源自H 2的電漿產生的反應性物質。
將樣本SiOCN膜的最終厚度與基於第一SiOCN層及第二SiOCN層直接在熱氧化矽上進行的單獨的樣本沉積的累積厚度而計算的厚度進行了比較。據發現,樣本SiOCN膜的所量測厚度隨第一含氮電漿沉積步驟循環的數目的增加而接近所計算厚度。據發現,使用100個第一含氮電漿沉積步驟循環而沉積的SiOCN樣本膜為所計算膜厚度的約80%,同時發現使用300個第一含氮電漿沉積步驟循環而沉積的SiOCN樣本膜約為所計算膜厚度。對於使用50個或小於50個第一含氮電漿沉積步驟循環而沉積的樣本SiOCN膜,觀察到所計算膜厚度與樣本膜厚度之間存在大的不匹配,樣本膜所具有的厚度為所計算膜厚度的約25%。
在不受任一理論束縛的條件下,據認為,具有50或小於50個循環的含氮電漿沉積步驟會致使第一SiOCN層過薄而無法有效地保護有機基板表面不因第二含氫電漿沉積步驟而劣化,其中有機表面材料的損失導致所量測膜厚度減小。
藉由包括第一含氮電漿沉積步驟及第二含氫電漿沉積步驟的方法製備了另一樣本SiOCN膜,第一含氮電漿沉積步驟具有50個循環,第二含氫電漿沉積步驟在以100瓦的電漿功率進行100個循環之後以200瓦的電漿功率進行100個循環且以400瓦的電漿功率進行300個循環。據發現,藉由逐漸增大第二含氫電漿沉積步驟的電漿功率,有機基板表面得到充分地保護而即便在具有相對薄的第一SiOCN層的情況下亦不因所述第二含氫電漿沉積步驟而劣化。 實例5
圖7示出藉由如本文中所闡述的電漿增強型原子層沉積製程而沉積於包括三維溝槽結構的基板上的樣本SiOCN膜701,所述電漿增強型原子層沉積製程使用(3-胺丙基)三甲氧基矽烷來作為矽前驅物且使用包含由源自H 2的電漿產生的反應性物質的第二反應物,H 2以100標況毫升每分(sccm)流動至反應室中。在沉積期間,反應室中的壓力為4托,溫度為225℃,且藉由向第二反應物施加200瓦的射頻功率而產生了反應性物質。在每一沉積循環中,將矽前驅物脈衝至反應室中達4秒並吹洗達4秒,同時將第二反應物脈衝至反應室中達4秒並吹洗達0.5秒。
接著將樣本SiOCN膜暴露至0.5重量%的稀釋氫氟酸中達2分鐘。經蝕刻的樣本SiOCN膜702所表現出的沉積於基板的垂直表面上的SiOCN膜的濕蝕刻速率對沉積於基板的水平表面上的SiOCN膜的濕蝕刻速率的比率為約21。
本文中使用的用語「約」可指代在給定值的15%以內、10%以內、5%以內或1%以內的值。
為簡潔起見,本文中使用用語「膜」及「薄膜」。「膜」及「薄膜」意欲意指藉由本文中所揭露的方法而沉積的任何連續的或不連續的結構及材料。舉例而言,「膜」及「薄膜」可包括2D材料、奈米棒(nanorod)、奈米管、或奈米顆粒、或甚至單個的局部分子層或整個分子層、或者局部原子層或整個原子層、或者原子及/或分子的集簇。「膜」及「薄膜」可包括具有引腳孔(pinhole)、但仍至少局部連續的材料或層。
熟習此項技術者將理解,可在不背離本發明的精神的條件下作出諸多各種各樣的潤飾。所闡述的特徵、結構、特性、及前驅物可以任何合適的方式進行組合。因此,應清楚地理解,本發明的形式僅為說明性的且不旨在限制本發明的範圍。所有潤飾及改變皆旨在落於由隨附申請專利範圍所界定的本發明的範圍內。
100:PEALD沉積製程 120、130、140、150、160:步驟 601、602:SiOCN膜 701:樣本SiOCN膜 702:經蝕刻的樣本SiOCN膜
圖1是藉由根據本發明某些實施例的電漿增強型原子層沉積(PEALD)製程來沉積氮碳氧化矽(SiOCN)薄膜的製程流程圖。
圖2說明根據本發明某些實施例而沉積的氮碳氧化矽薄膜的膜每循環成長率(growth per cycle,GPC)、折射率及濕蝕刻速率比率(wet etch rate ratio,WERR)隨第二反應物氣體混合物比率的變化。
圖3說明對於熱氧化物(thermal oxide,TOX)及根據本發明某些實施例而沉積的氮碳氧化矽薄膜的蝕刻量(稀釋氫氟酸濕蝕刻)與蝕刻時間的相對關係。
圖4是根據本發明某些實施例而沉積的氮碳氧化矽薄膜的合成X射線光電子光譜學(X-ray photoelectron spectroscopy,XPS)深度輪廓。
圖5A至圖5B說明根據本發明某些實施例而沉積的氮碳氧化矽薄膜在進行持續2分鐘的稀釋氫氟酸浸漬(dip)之前及之後的穿透式電子顯微鏡(transmission electron microscope,TEM)影像。
圖5C至圖5D說明根據本發明某些實施例而沉積的氮碳氧化矽薄膜在進行持續2分鐘的稀釋氫氟酸浸漬之前及之後的穿透式電子顯微鏡(TEM)影像。
圖6是大體說明利用N電漿及O電漿而形成的自對準間隔壁(self aligned spacer)的圖式。
圖7繪示根據某些實施例而沉積的樣本氮碳氧化矽膜在暴露至0.5重量%(wt%)稀釋氫氟酸2分鐘之前及之後的掃描式電子顯微圖。
100:PEALD沉積製程
120、130、140、150、160:步驟

Claims (48)

  1. 一種在反應空間中的基板上形成氮碳氧化矽(SiOCN)薄膜的方法,所述薄膜藉由電漿增強型原子層沉積(PEALD)製程來形成,其中所述電漿增強型原子層沉積製程包括至少一個沉積循環,所述至少一個沉積循環包括: 使所述基板的表面與氣相矽前驅物進行接觸,由此在所述基板的所述表面上吸附矽物質;以及 使所吸附的所述矽物質與至少一種形成自不包括氧的氣體的電漿產生的反應性物質接觸,其中所吸附的所述矽物質不與由氣體形成的含氧反應性物質接觸; 可選地重覆進行接觸的步驟直至已形成具有所期望厚度的所述氮碳氧化矽薄膜; 其中所述矽前驅物是選自由具有以下通式組成的群組: (R IO) 4-xSi(R II-NH 2) x(1) 其中x是1至4的整數; R I是獨立地選自由烷基組成的群組;以及 R II是獨立地選自由烴基組成的群組; (R IO) 3Si-R II-NH 2(2) 其中R I是獨立地選自由烷基組成的群組;以及 R II是獨立地選自由烴基組成的群組; (R IO) 4-xSi(-[CH 2] n-NH 2) x(3) 其中x是為1至4的整數; n是為1至5的整數;以及 R I是獨立地選自由烷基組成的群組。
  2. 如請求項1所述的方法,其中所述氮碳氧化矽薄膜的濕蝕刻速率相對於熱氧化矽的濕蝕刻速率的比值小於約5。
  3. 如請求項1所述的方法,其中所述氮碳氧化矽薄膜的濕蝕刻速率相對於熱氧化矽的濕蝕刻速率的比值小於約0.3。
  4. 如請求項1所述的方法,其中所述氮碳氧化矽薄膜的濕蝕刻速率相對於熱氧化矽的濕蝕刻速率的比值小於約0.1。
  5. 如請求項1所述的方法,其中所述氮碳氧化矽薄膜沉積在所述基板上的三維結構上。
  6. 如請求項5所述的方法,其中在稀釋的氫氟酸中,形成於所述三維結構的頂表面上的氮碳氧化矽的濕蝕刻速率對形成於所述三維結構的側壁表面上的所述氮碳氧化矽的濕蝕刻速率的濕蝕刻速率比率為約1:1。
  7. 如請求項1所述的方法,其中所述矽前驅物不包括鹵素。
  8. 如請求項1所述的方法,其中所述矽前驅物包括(3-胺丙基)三甲氧基矽烷(APTMS)。
  9. 如請求項1所述的方法,其中所述反應性物質包括氫電漿、氫原子、氫自由基或氫離子。
  10. 如請求項1所述的方法,其中所述反應性物質產生自包括稀有氣體的第二反應物。
  11. 如請求項9所述的方法,其中所述反應性物質更包括氮電漿、氮原子、氮自由基或氮離子。
  12. 如請求項1所述的方法,其中所述反應性物質是由電漿自包括氫的第二反應物產生。
  13. 如請求項12所述的方法,其中所述第二反應物包括H 2
  14. 如請求項1所述的方法,其中所述氮碳氧化矽薄膜包括至少20原子%的氧。
  15. 如請求項1所述的方法,其中所述氮碳氧化矽薄膜包括至少5原子%的碳。
  16. 如請求項1所述的方法,其中所述氮碳氧化矽薄膜包括至少5原子%的氮。
  17. 一種在反應空間中的基板上形成氮碳氧化矽(SiOCN)薄膜的方法,所述方法包括多個沉積循環,其中至少一個沉積循環包括: 使所述基板的表面交替地且依序地接觸矽前驅物及第二反應物,所述第二反應物包括至少一種不包括氧的氣體的電漿產生的反應性物質,其中所述基板的所述表面不與產生自氣體的含氧反應性物質接觸, 其中所述沉積循環重覆進行兩次或更多次直至形成所述氮碳氧化矽薄膜, 其中所述矽前驅物具有以下通式: L nSi(OR I) 4-x-y-z-n(R IINR IIIR IV) xH y(OH) z其中n是為0至3的整數,x是為1至4的整數,y是為0至3的整數,z是為0至3的整數;並且4-x-y-z-n為0至3; R I是獨立選自由烷基組成的群組; R II是獨立選自由烴組成的群組; R III及R IV是獨立選自由烷基及氫組成的群組;並且 L是獨立選自由烷基及鹵素組成的群組。
  18. 如請求項17所述的方法,其中所述矽前驅物具有以下通式: L nSi(OR I) 4-x-n(R IINR IIIR IV) x其中n是為0至3的整數,x是為1至3的整數; R I是獨立選自由烷基組成的群組; R II是獨立選自由烴組成的群組; R III及R IV是獨立選自由烷基及氫組成的群組;並且 L是獨立選自由烷基及鹵素組成的群組。
  19. 如請求項17所述的方法,其中所述矽前驅物具有以下通式: Si(OR I) 4-x-y-z(R IINR IIIR IV) xH y(OH) z其中x是為1至4的整數,y是為0至3的整數,z是為0至3的整數; R I是獨立選自由烷基組成的群組; R II是獨立選自由烴組成的群組;並且 R III及R IV是獨立選自由烷基及氫組成的群組。
  20. 如請求項17所述的方法,其中所述矽前驅物具有以下通式: Si(OR I) 4-x(R IINR IIIR IV) x其中x是為1至4的整數; R I是獨立選自由烷基組成的群組; R II是獨立選自由烴組成的群組;並且 R III及R IV是獨立選自由烷基及氫組成的群組。
  21. 如請求項17所述的方法,其中所述矽前驅物包括(3-胺丙基)三甲氧基矽烷。
  22. 如請求項17所述的方法,其中至少一個所述沉積循環為電漿增強型原子層沉積循環。
  23. 如請求項17所述的方法,其中藉由向所述第二反應物施加約100瓦至約1000瓦的射頻功率來產生所述反應性物質。
  24. 如請求項17所述的方法,其中在約300℃至約400℃的製程溫度下施行所述沉積循環。
  25. 如請求項17所述的方法,其中在小於約100℃的製程溫度下施行所述沉積循環。
  26. 如請求項17所述的方法,其中所述基板包括有機材料。
  27. 一種在反應空間中的基板上沉積氮碳氧化矽(SiOCN)薄膜的方法: 使所述基板的表面接觸矽前驅物,包括; 至少一個藉由碳而鍵接至矽原子且含有附接至碳鏈的NH 2基的配位體,且 至少一個藉由氧原子而鍵接至所述矽原子且其中烷基鍵接至所述氧原子的配位體; 若有過量的反應物及反應副產物,使所述基板暴露至吹洗氣體及/或真空,以移除過量的所述反應物及所述反應副產物; 使所述基板的表面接觸包括氫的第二反應物,其中所述第二反應物包括由電漿所產生的至少一種反應性物質,其中所述基板的所述表面不與產生自氣體的含氧反應性物質接觸; 若有過量的所述第二反應物及反應物副產物,使所述基板暴露至吹洗氣體及/或真空,以移除過量的所述第二反應物及所述反應物副產物;以及 重覆進行接觸的步驟直至已形成具有所期望厚度的所述氮碳氧化矽薄膜為止。
  28. 一種在反應空間中的基板上形成包括Si-O鍵的薄膜的方法,所述薄膜藉由電漿增強型原子層沉積(PEALD)製程來形成,其中所述電漿增強型原子層沉積製程包括至少一個沉積循環,所述至少一個沉積循環包括: 使所述基板的表面與氣相矽前驅物進行接觸,由此在所述基板的所述表面上吸附矽物質; 使所吸附的所述矽物質與至少一種不包括氧的氣體的電漿產生的反應性物質接觸,其中所吸附的所述矽物質不與由氣體產生的含氧反應性物質接觸;以及 重覆進行接觸的步驟直至所述薄膜形成; 其中所述矽前驅物包括矽原子且包括胺基及胺基烷基的配位體, 其中所述矽原子鍵接至氧, 其中至少一個烷基附接至所述矽原子,且 其中所述矽前驅物不包括鹵素。
  29. 如請求項28所述的方法,其中所述矽前驅物包括至少一個附接至碳鏈的NH 2基。
  30. 如請求項28所述的方法,其中所述矽前驅物包括至少一個胺基烷基配位體。
  31. 如請求項28所述的方法,其中所述矽前驅物包括鍵接至所述矽原子的烷氧基。
  32. 如請求項28所述的方法,其中所述矽前驅物包括至少一個鍵接至矽的氫。
  33. 如請求項28所述的方法,其中所述薄膜包括高達10原子%的氮。
  34. 如請求項33所述的方法,其中所述薄膜包括超過5原子%的氮。
  35. 如請求項33所述的方法,其中所薄膜包括超過1原子%的氮。
  36. 如請求項28所述的方法,其中所薄膜包括至少20原子%的氧。
  37. 如請求項28所述的方法,其中所述薄膜包括約0.5原子%至約40原子%的碳。
  38. 如請求項37所述的方法,其中所述薄膜包括至少5原子%的碳。
  39. 如請求項28所述的方法,其中所述薄膜的濕蝕刻速率相對於熱氧化矽的濕蝕刻速率的比值小於約5。
  40. 如請求項28所述的方法,其中所述薄膜的濕蝕刻速率相對於熱氧化矽的濕蝕刻速率的比值小於約0.3。
  41. 如請求項28所述的方法,其中所述薄膜沉積在所述基板上的三維結構上。
  42. 如請求項41所述的方法,其中在稀釋的氫氟酸中,形成於所述三維結構的頂表面上的所述薄膜的濕蝕刻速率對形成於所述三維結構的側壁表面上的所述薄膜的濕蝕刻速率的濕蝕刻速率比率為約1:1。
  43. 如請求項28所述的方法,其中所述反應性物質包括氫電漿、氫原子、氫自由基或氫離子。
  44. 如請求項43所述的方法,其中所述反應性物質更包括氮電漿、氮原子、氮自由基或氮離子。
  45. 如請求項28所述的方法,所述反應性物質產生自包括稀有氣體的第二反應物。
  46. 如請求項28所述的方法,其中所述反應性物質是由電漿自包括氫的第二反應物產生。
  47. 如請求項46所述的方法,其中所述第二反應物包括H 2
  48. 如請求項28所述的方法,其中所述基板包括有機材料。
TW111107348A 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法 TWI781889B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/939,984 2015-11-12
US14/939,984 US9786491B2 (en) 2015-11-12 2015-11-12 Formation of SiOCN thin films
US15/342,943 US9786492B2 (en) 2015-11-12 2016-11-03 Formation of SiOCN thin films
US15/342,943 2016-11-03

Publications (2)

Publication Number Publication Date
TW202225459A TW202225459A (zh) 2022-07-01
TWI781889B true TWI781889B (zh) 2022-10-21

Family

ID=58691575

Family Applications (5)

Application Number Title Priority Date Filing Date
TW105136356A TWI697577B (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法
TW111107348A TWI781889B (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法
TW109117830A TWI759747B (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法
TW112102113A TW202319570A (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法
TW111135604A TWI794133B (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105136356A TWI697577B (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW109117830A TWI759747B (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法
TW112102113A TW202319570A (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法
TW111135604A TWI794133B (zh) 2015-11-12 2016-11-09 形成氮碳氧化矽薄膜的方法

Country Status (5)

Country Link
US (2) US9786492B2 (zh)
JP (4) JP6654547B2 (zh)
KR (3) KR102385980B1 (zh)
CN (1) CN106711025B (zh)
TW (5) TWI697577B (zh)

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) * 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
CN108933088B (zh) * 2017-05-25 2020-05-29 上海稷以科技有限公司 一种封装的方法及封装结构
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR102334832B1 (ko) * 2017-07-13 2021-12-06 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) * 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI827645B (zh) * 2018-08-23 2024-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理設備及方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109576677A (zh) * 2018-12-28 2019-04-05 复旦大学 一种利用等离子体增强原子层沉积可控制备不同氧含量的SiON薄膜的方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11359281B2 (en) 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11466038B2 (en) * 2020-06-11 2022-10-11 Entegris, Inc. Vapor deposition precursor compounds and process of use
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
WO2022085499A1 (ja) * 2020-10-19 2022-04-28 東京エレクトロン株式会社 成膜方法及び成膜装置
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112725732B (zh) * 2020-12-24 2022-11-25 温州大学 一种SiCNO基压阻薄膜体系及其制备方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023042264A1 (ja) * 2021-09-14 2023-03-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103224510A (zh) * 2012-01-27 2013-07-31 气体产品与化学公司 烷氧基氨基硅烷化合物及其应用

Family Cites Families (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
EP0982772B1 (en) 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
EP0800592B1 (de) 1994-12-27 1998-08-26 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
ATE408035T1 (de) 1999-02-11 2008-09-15 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100795534B1 (ko) 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
JP4427254B2 (ja) 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド 誘電体皮膜を堆積するための方法
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US20090291293A1 (en) 2006-07-14 2009-11-26 Dai Nippon Printing Co., Ltd. Film with transparent electroconductive membrane and its use
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
KR20090126273A (ko) 2007-03-28 2009-12-08 다우 코닝 코포레이션 실리콘 및 탄소를 함유하는 장벽층의 롤투롤 플라즈마 화학 기상 증착법
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP5083200B2 (ja) * 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2950633B1 (fr) * 2009-09-30 2011-11-25 Alchimer Solution et procede d'activation de la surface oxydee d'un substrat semi-conducteur.
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US20130078454A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
SG10201607194PA (en) * 2011-09-23 2016-10-28 Novellus Systems Inc Plasma activated conformal dielectric film deposition
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
US20160246763A1 (en) 2013-10-18 2016-08-25 Mitsubishi Electric Corporation Character string display device
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP6562629B2 (ja) * 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
WO2017184701A1 (en) 2016-04-21 2017-10-26 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103224510A (zh) * 2012-01-27 2013-07-31 气体产品与化学公司 烷氧基氨基硅烷化合物及其应用

Also Published As

Publication number Publication date
TW202225459A (zh) 2022-07-01
JP2017092475A (ja) 2017-05-25
TWI697577B (zh) 2020-07-01
KR20230058344A (ko) 2023-05-03
CN106711025A (zh) 2017-05-24
TWI794133B (zh) 2023-02-21
JP6654547B2 (ja) 2020-02-26
JP6950012B2 (ja) 2021-10-13
TWI759747B (zh) 2022-04-01
TW201726965A (zh) 2017-08-01
KR20170055924A (ko) 2017-05-22
TW202319570A (zh) 2023-05-16
US10510529B2 (en) 2019-12-17
JP7135187B2 (ja) 2022-09-12
TW202302902A (zh) 2023-01-16
KR102385980B1 (ko) 2022-04-13
JP2022164814A (ja) 2022-10-27
US20170140925A1 (en) 2017-05-18
TW202033812A (zh) 2020-09-16
KR102524573B1 (ko) 2023-04-21
US9786492B2 (en) 2017-10-10
CN106711025B (zh) 2019-11-19
KR20220050854A (ko) 2022-04-25
JP2020065087A (ja) 2020-04-23
US20180190486A1 (en) 2018-07-05
JP2022003689A (ja) 2022-01-11

Similar Documents

Publication Publication Date Title
TWI781889B (zh) 形成氮碳氧化矽薄膜的方法
US11996284B2 (en) Formation of SiOCN thin films
TWI810617B (zh) 形成及沈積碳氧化矽薄膜的方法
US10186420B2 (en) Formation of silicon-containing thin films
CN110546302A (zh) 用于受控形成含氧薄膜的等离子体增强沉积方法
TWI835151B (zh) 在基板上形成碳氧化矽的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent