USD876504S1 - Exhaust flow control ring for semiconductor deposition apparatus - Google Patents
Exhaust flow control ring for semiconductor deposition apparatus Download PDFInfo
- Publication number
- USD876504S1 USD876504S1 US29/604,101 US201729604101F USD876504S US D876504 S1 USD876504 S1 US D876504S1 US 201729604101 F US201729604101 F US 201729604101F US D876504 S USD876504 S US D876504S
- Authority
- US
- United States
- Prior art keywords
- flow control
- deposition apparatus
- exhaust flow
- control ring
- semiconductor deposition
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Description
Claims (1)
- The ornamental design for an exhaust flow control ring for semiconductor deposition apparatus, as shown and described.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR30-2017-0015304 | 2017-04-03 | ||
KR20170015304 | 2017-04-03 |
Publications (1)
Publication Number | Publication Date |
---|---|
USD876504S1 true USD876504S1 (en) | 2020-02-25 |
Family
ID=69571443
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US29/604,101 Active USD876504S1 (en) | 2017-04-03 | 2017-05-15 | Exhaust flow control ring for semiconductor deposition apparatus |
Country Status (2)
Country | Link |
---|---|
US (1) | USD876504S1 (en) |
TW (1) | TWD186431S (en) |
Cited By (232)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
USD891382S1 (en) * | 2019-02-08 | 2020-07-28 | Applied Materials, Inc. | Process shield for a substrate processing chamber |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
USD933725S1 (en) * | 2019-02-08 | 2021-10-19 | Applied Materials, Inc. | Deposition ring for a substrate processing chamber |
USD933726S1 (en) * | 2020-07-31 | 2021-10-19 | Applied Materials, Inc. | Deposition ring for a semiconductor processing chamber |
USD934315S1 (en) * | 2020-03-20 | 2021-10-26 | Applied Materials, Inc. | Deposition ring for a substrate processing chamber |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
USD943539S1 (en) * | 2020-03-19 | 2022-02-15 | Applied Materials, Inc. | Confinement plate for a substrate processing chamber |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11581166B2 (en) | 2020-07-31 | 2023-02-14 | Applied Materials, Inc. | Low profile deposition ring for enhanced life |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
USD979524S1 (en) * | 2020-03-19 | 2023-02-28 | Applied Materials, Inc. | Confinement liner for a substrate processing chamber |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981459S1 (en) * | 2021-06-16 | 2023-03-21 | Ebara Corporation | Retaining ring for substrate |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
USD992615S1 (en) * | 2018-12-07 | 2023-07-18 | Tokyo Electron Limited | Focus ring |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
USD1013841S1 (en) * | 2020-04-20 | 2024-02-06 | Reliance Worldwide Corporation | Retaining ring |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11959168B2 (en) | 2021-04-26 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
Citations (596)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2266416A (en) | 1939-01-14 | 1941-12-16 | Western Electric Co | Control apparatus |
US3263502A (en) | 1964-01-21 | 1966-08-02 | Redwood L Springfield | Multiple thermocouple support |
US3913058A (en) | 1972-07-25 | 1975-10-14 | Ngk Spark Plug Co | Thermosensor |
SU494614A1 (en) | 1974-05-05 | 1975-12-05 | Специальное Проектно-Конструкторское Бюро "Главнефтеснабсбыта" Усср | Remote level measurement device |
US4721533A (en) | 1986-08-01 | 1988-01-26 | System Planning Corporation | Protective structure for an immersion pyrometer |
US4749416A (en) | 1986-08-01 | 1988-06-07 | System Planning Corporation | Immersion pyrometer with protective structure for sidewall use |
SU1408319A1 (en) | 1987-01-06 | 1988-07-07 | Всесоюзный научно-исследовательский институт аналитического приборостроения | Chemoluminescent gas analyzer for nitrogen oxides |
DE3836696C1 (en) | 1988-10-28 | 1989-12-07 | Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De | Lock for transporting material between clean rooms |
US5002632A (en) | 1989-11-22 | 1991-03-26 | Texas Instruments Incorporated | Method and apparatus for etching semiconductor materials |
US5053247A (en) | 1989-02-28 | 1991-10-01 | Moore Epitaxial, Inc. | Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby |
US5069591A (en) | 1988-03-24 | 1991-12-03 | Tel Sagami Limited | Semiconductor wafer-processing apparatus |
US5082517A (en) | 1990-08-23 | 1992-01-21 | Texas Instruments Incorporated | Plasma density controller for semiconductor device processing equipment |
US5084126A (en) | 1988-12-29 | 1992-01-28 | Texas Instruments Incorporated | Method and apparatus for uniform flow distribution in plasma reactors |
JPH0429313A (en) | 1990-05-24 | 1992-01-31 | Fujitsu Ltd | Device for producing semiconductor crystal |
US5158128A (en) | 1988-09-01 | 1992-10-27 | Sumitec, Inc. | Thermocouple for a continuous casting machine |
US5273609A (en) | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
US5281274A (en) | 1990-06-22 | 1994-01-25 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors |
US5305417A (en) | 1993-03-26 | 1994-04-19 | Texas Instruments Incorporated | Apparatus and method for determining wafer temperature using pyrometry |
US5320218A (en) | 1992-04-07 | 1994-06-14 | Shinko Electric Co., Ltd. | Closed container to be used in a clean room |
US5338362A (en) | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
US5348774A (en) | 1993-08-11 | 1994-09-20 | Alliedsignal Inc. | Method of rapidly densifying a porous structure |
JPH06319177A (en) | 1993-02-24 | 1994-11-15 | Hewlett Packard Co <Hp> | Adaptive remote control system |
US5388945A (en) | 1992-08-04 | 1995-02-14 | International Business Machines Corporation | Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers |
US5397395A (en) | 1990-10-29 | 1995-03-14 | Canon Kabushiki Kaisha | Method of continuously forming a large area functional deposited film by microwave PCVD and apparatus for the same |
US5423942A (en) | 1994-06-20 | 1995-06-13 | Texas Instruments Incorporated | Method and apparatus for reducing etching erosion in a plasma containment tube |
US5431734A (en) | 1994-04-28 | 1995-07-11 | International Business Machines Corporation | Aluminum oxide low pressure chemical vapor deposition (LPCVD) system-fourier transform infrared (FTIR) source chemical control |
JPH07225214A (en) | 1994-02-14 | 1995-08-22 | Shimadzu Corp | Nox measuring apparatus |
US5447294A (en) | 1993-01-21 | 1995-09-05 | Tokyo Electron Limited | Vertical type heat treatment system |
USD363464S (en) | 1992-08-27 | 1995-10-24 | Tokyo Electron Yamanashi Limited | Electrode for a semiconductor processing apparatus |
US5463176A (en) | 1994-01-03 | 1995-10-31 | Eckert; C. Edward | Liquid waste oxygenation |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5482559A (en) | 1993-10-21 | 1996-01-09 | Tokyo Electron Kabushiki Kaisha | Heat treatment boat |
US5484484A (en) | 1993-07-03 | 1996-01-16 | Tokyo Electron Kabushiki | Thermal processing method and apparatus therefor |
US5531218A (en) | 1993-04-17 | 1996-07-02 | Messer Griesheim Gmbh | Apparatus for the monitored metering of no into patients' respiratory air |
US5586585A (en) | 1995-02-27 | 1996-12-24 | Asyst Technologies, Inc. | Direct loadlock interface |
JPH0964149A (en) | 1995-08-29 | 1997-03-07 | Hitachi Electron Eng Co Ltd | Semiconductor production device |
US5667592A (en) | 1996-04-16 | 1997-09-16 | Gasonics International | Process chamber sleeve with ring seals for isolating individual process modules in a common cluster |
US5685912A (en) | 1995-06-20 | 1997-11-11 | Sony Corporation | Pressure control system for semiconductor manufacturing equipment |
USD386076S (en) | 1996-05-14 | 1997-11-11 | Camco Manufacturing, Inc. | Awning clamp |
US5728425A (en) | 1992-03-18 | 1998-03-17 | Fujitsu Limited | Method for chemical vapor deposition of semiconductor films by separate feeding of source gases and growing of films |
US5730802A (en) | 1994-05-20 | 1998-03-24 | Sharp Kabushiki Kaisha | Vapor growth apparatus and vapor growth method capable of growing good productivity |
US5801945A (en) | 1996-06-28 | 1998-09-01 | Lam Research Corporation | Scheduling method for robotic manufacturing processes |
US5827420A (en) | 1997-07-29 | 1998-10-27 | World Precision Instruments, Inc. | Method and apparatus for the generation of nitric oxide |
USD404370S (en) * | 1997-08-20 | 1999-01-19 | Tokyo Electron Limited | Cap for use in a semiconductor wafer heat processing apparatus |
USD404372S (en) * | 1997-08-20 | 1999-01-19 | Tokyo Electron Limited | Ring for use in a semiconductor wafer heat processing apparatus |
US5863123A (en) | 1996-04-24 | 1999-01-26 | Samsung Electronics Co., Ltd. | Profile thermocouple of a transverse-type diffusion furnace |
US5866795A (en) | 1997-03-17 | 1999-02-02 | Applied Materials, Inc. | Liquid flow rate estimation and verification by direct liquid measurement |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US5893741A (en) | 1997-02-07 | 1999-04-13 | National Science Council | Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's |
US5904170A (en) | 1997-05-14 | 1999-05-18 | Applied Materials, Inc. | Pressure flow and concentration control of oxygen/ozone gas mixtures |
US5915562A (en) | 1996-07-12 | 1999-06-29 | Fluoroware, Inc. | Transport module with latching door |
USD411516S (en) | 1996-03-15 | 1999-06-29 | Tokyo Electron Limited | Gas diffusion plate for electrode of semiconductor wafer processing apparatus |
US5939886A (en) | 1994-10-24 | 1999-08-17 | Advanced Energy Industries, Inc. | Plasma monitoring and control method and system |
US5992453A (en) | 1995-10-17 | 1999-11-30 | Zimmer; Johannes | Flow-dividing arrangement |
US6000732A (en) | 1995-09-22 | 1999-12-14 | Jenoptik Ag | Arrangement for locking and unlocking a door of a container |
US6035804A (en) | 1997-11-07 | 2000-03-14 | Tokyo Electron Limited | Process chamber apparatus |
KR100253664B1 (en) | 1997-08-22 | 2000-04-15 | 이해광 | Operating system of polyimide dryer |
US6053983A (en) | 1997-05-08 | 2000-04-25 | Tokyo Electron, Ltd. | Wafer for carrying semiconductor wafers and method detecting wafers on carrier |
US6072163A (en) | 1998-03-05 | 2000-06-06 | Fsi International Inc. | Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate |
US6074154A (en) | 1996-08-29 | 2000-06-13 | Tokyo Electron Limited | Substrate treatment system, substrate transfer system, and substrate transfer method |
US6079927A (en) | 1998-04-22 | 2000-06-27 | Varian Semiconductor Equipment Associates, Inc. | Automated wafer buffer for use with wafer processing equipment |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6095083A (en) | 1991-06-27 | 2000-08-01 | Applied Materiels, Inc. | Vacuum processing chamber having multi-mode access |
US6106625A (en) | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6119710A (en) | 1999-05-26 | 2000-09-19 | Cyber Instrument Technologies Llc | Method for wide range gas flow system with real time flow measurement and correction |
US6120008A (en) | 1998-04-28 | 2000-09-19 | Life International Products, Inc. | Oxygenating apparatus, method for oxygenating a liquid therewith, and applications thereof |
US6143659A (en) | 1997-11-18 | 2000-11-07 | Samsung Electronics, Co., Ltd. | Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
JP2001015698A (en) | 1999-07-02 | 2001-01-19 | Matsushita Electronics Industry Corp | Semiconductor device and manufacture thereof |
US6190037B1 (en) | 1999-02-19 | 2001-02-20 | Applied Materials, Inc. | Non-intrusive, on-the-fly (OTF) temperature measurement and monitoring system |
US6190457B1 (en) | 1996-03-22 | 2001-02-20 | Nippon Sanso Corporation | CVD system and CVD process |
US6203969B1 (en) | 1998-09-14 | 2001-03-20 | Tokyo Electron Limited | Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein |
US20010000141A1 (en) | 1999-09-03 | 2001-04-05 | Derong Zhou | Processes and systems for purification of boron trichloride |
US6231290B1 (en) | 1998-03-23 | 2001-05-15 | Tokyo Electron | Processing method and processing unit for substrate |
US6238734B1 (en) | 1999-07-08 | 2001-05-29 | Air Products And Chemicals, Inc. | Liquid precursor mixtures for deposition of multicomponent metal containing materials |
US20010014267A1 (en) | 1997-05-21 | 2001-08-16 | Kenichi Yamaga | Wafer processing apparatus, method of operating the same and wafer detecting system |
US6287988B1 (en) | 1997-03-18 | 2001-09-11 | Kabushiki Kaisha Toshiba | Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device |
US6296710B1 (en) | 1999-10-06 | 2001-10-02 | Advanced Micro Devices, Inc. | Multi-port gas injector for a vertical furnace used in semiconductor processing |
JP2001287180A (en) | 2000-04-04 | 2001-10-16 | Sony Corp | Robot remote-control system and software distribution method |
US20010031541A1 (en) | 1998-08-28 | 2001-10-18 | Arun Madan | Hot wire chemical vapor deposition method and apparatus using graphite hot rods |
US20010039922A1 (en) | 2000-05-09 | 2001-11-15 | Takashi Nakahara | Processing chamber |
US20010041250A1 (en) | 2000-03-07 | 2001-11-15 | Werkhoven Christian J. | Graded thin films |
US20010039966A1 (en) | 2000-03-28 | 2001-11-15 | Jim Walpole | Automatic valve |
US20010054388A1 (en) | 2000-05-22 | 2001-12-27 | Qian Shao Shou | Single-substrate-film-forming method and single-substrate-heat-processing apparatus |
US6335049B1 (en) | 2000-01-03 | 2002-01-01 | Micron Technology, Inc. | Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor |
US20020008270A1 (en) | 1998-09-03 | 2002-01-24 | Micron Technology, Inc. | Diffusion barrier layers and methods of forming same |
US6346419B1 (en) | 2000-06-26 | 2002-02-12 | The United States Of America As Represented By The Department Of Commerce | Photolysis system for fast-response NO2 measurements and method therefor |
US20020025688A1 (en) | 2000-08-23 | 2002-02-28 | Kazuhiko Kato | Heat-processing apparatus and method of semiconductor process |
US20020027945A1 (en) | 2000-08-22 | 2002-03-07 | Ryoichi Hirano | Temperature measuring method in pattern drawing apparatus |
US20020043337A1 (en) * | 1997-11-03 | 2002-04-18 | Goodman Matthew G. | Low mass wafer support system |
US20020048634A1 (en) | 2000-05-26 | 2002-04-25 | Cem Basceri | Physical vapor deposition methods |
US6379466B1 (en) | 1992-01-17 | 2002-04-30 | Applied Materials, Inc. | Temperature controlled gas distribution plate |
US20020073922A1 (en) | 1996-11-13 | 2002-06-20 | Jonathan Frankel | Chamber liner for high temperature processing chamber |
US20020090735A1 (en) | 1997-02-28 | 2002-07-11 | Extraction Systems, Inc. | Protection of semiconductor fabrication and similar sensitive processes |
US20020099470A1 (en) | 2000-09-27 | 2002-07-25 | Jan Zinger | Wafer handling system |
US20020100418A1 (en) | 2000-05-12 | 2002-08-01 | Gurtej Sandhu | Versatile atomic layer deposition apparatus |
US20020104751A1 (en) | 1999-11-18 | 2002-08-08 | Drewery John Stephen | Method and apparatus for ionized physical vapor deposition |
JP2002237375A (en) | 2000-12-05 | 2002-08-23 | Ibiden Co Ltd | Ceramic plate for semiconductor manufacturing/testing device, and manufacturing method of the same |
US6439822B1 (en) | 1998-09-22 | 2002-08-27 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
US20020123237A1 (en) | 2001-03-05 | 2002-09-05 | Tue Nguyen | Plasma pulse semiconductor processing system and method |
US6450117B1 (en) | 2000-08-07 | 2002-09-17 | Applied Materials, Inc. | Directing a flow of gas in a substrate processing chamber |
US6455098B2 (en) | 2000-03-09 | 2002-09-24 | Semix Incorporated | Wafer processing apparatus and method |
US20020160112A1 (en) | 2001-02-28 | 2002-10-31 | Japan Pionics Co., Ltd | Chemical vapor deposition apparatus and chemical vapor deposition method |
US6481945B1 (en) | 1998-06-05 | 2002-11-19 | Asm International N.V. | Method and device for transferring wafers |
US6498091B1 (en) | 2000-11-01 | 2002-12-24 | Applied Materials, Inc. | Method of using a barrier sputter reactor to remove an underlying barrier layer |
US20030000647A1 (en) | 2001-06-29 | 2003-01-02 | Applied Materials, Inc. | Substrate processing chamber |
US6502530B1 (en) | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
US6503365B1 (en) | 1998-04-21 | 2003-01-07 | Samsung Electronics Co., Ltd. | Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing |
US20030008528A1 (en) | 1999-08-17 | 2003-01-09 | Applied Materials, Inc. | Surface treatment of c-doped SiO2 film to enhance film stability during 02 ashing |
US6506009B1 (en) | 2000-03-16 | 2003-01-14 | Applied Materials, Inc. | Apparatus for storing and moving a cassette |
US20030017266A1 (en) | 2001-07-13 | 2003-01-23 | Cem Basceri | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer |
US20030017265A1 (en) | 2001-07-13 | 2003-01-23 | Cem Basceri | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers |
JP2003053688A (en) | 2001-05-15 | 2003-02-26 | Fanuc Robotics North America Inc | Robot system having teach pendant |
US20030040196A1 (en) | 2001-08-27 | 2003-02-27 | Lim Jung Wook | Method of forming insulation layer in semiconductor devices for controlling the composition and the doping concentration |
US20030040120A1 (en) | 1999-10-12 | 2003-02-27 | Allen George A. | Systems and methods for measuring nitrate levels |
US6527884B1 (en) | 2000-01-20 | 2003-03-04 | Hitachi Kokusai Electric, Inc. | Hydrogen annealing process and apparatus therefor |
US20030045961A1 (en) | 2001-08-31 | 2003-03-06 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
US20030041971A1 (en) | 2001-08-28 | 2003-03-06 | Nec Corporation | Substrate processing system for performing exposure process in gas atmosphere |
US20030049372A1 (en) | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
US20030066482A1 (en) | 1999-08-17 | 2003-04-10 | Applied Materials, Inc. | Lid cooling mechanism and method for optimized deposition of low-K dielectric using TRI methylsilane-ozone based processes |
US20030072882A1 (en) | 2001-08-03 | 2003-04-17 | Jaakko Niinisto | Method of depositing rare earth oxide thin films |
US20030077857A1 (en) | 1999-08-17 | 2003-04-24 | Applied Materials, Inc. | Post-deposition treatment to enhance properties of SI-O-C low films |
US20030077883A1 (en) | 2001-09-07 | 2003-04-24 | Naoto Ohtake | Deposition method, deposition apparatus, and semiconductor device |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
US20030111013A1 (en) | 2001-12-19 | 2003-06-19 | Oosterlaken Theodorus Gerardus Maria | Method for the deposition of silicon germanium layers |
US6582174B1 (en) | 1999-01-26 | 2003-06-24 | Kokusai Electric Co., Ltd. | Substrate processing apparatus and substrate processing method |
TW540093B (en) | 2001-04-05 | 2003-07-01 | Angstron Systems Inc | Atomic layer deposition system and method |
US20030124792A1 (en) | 2001-12-27 | 2003-07-03 | Samsung Electronics Co., Ltd. | Methods for fabricating semiconductor devices having capacitors |
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
US20030140851A1 (en) | 2002-01-25 | 2003-07-31 | Applied Materials, Inc. | Gas distribution showerhead |
US20030170945A1 (en) | 2001-12-07 | 2003-09-11 | Tokyo Electron Limited | Radical processing of a sub-nanometer insulation film |
US20030176074A1 (en) | 2002-03-18 | 2003-09-18 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
US20030190804A1 (en) | 2002-04-09 | 2003-10-09 | Glenn W. Benjamin | Simultaneous cyclical deposition in different processing regions |
US20030213435A1 (en) | 2002-04-11 | 2003-11-20 | Kazuyuki Okuda | Vertical type semiconductor device producing apparatus |
US20030213560A1 (en) | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
US20030232511A1 (en) | 2002-06-14 | 2003-12-18 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US20040015300A1 (en) | 2002-07-22 | 2004-01-22 | Seshadri Ganguli | Method and apparatus for monitoring solid precursor delivery |
WO2004008491A2 (en) | 2002-07-15 | 2004-01-22 | Aviza Technology, Inc. | Thermal processing system and configurable vertical chamber |
US20040011504A1 (en) | 2002-07-17 | 2004-01-22 | Ku Vincent W. | Method and apparatus for gas temperature control in a semiconductor processing system |
US20040025787A1 (en) | 2002-04-19 | 2004-02-12 | Selbrede Steven C. | System for depositing a film onto a substrate using a low pressure gas precursor |
US6696367B1 (en) | 2002-09-27 | 2004-02-24 | Asm America, Inc. | System for the improved handling of wafers within a process tool |
US20040035358A1 (en) | 2002-08-23 | 2004-02-26 | Cem Basceri | Reactors having gas distributors and methods for depositing materials onto micro-device workpieces |
US20040043544A1 (en) | 2002-04-25 | 2004-03-04 | Hitachi Kokusai Electric Inc. | Manufacturing method of semiconductor device and substrate processing apparatus |
US20040048452A1 (en) | 2001-01-25 | 2004-03-11 | Takuya Sugawara | Method of producing electronic device material |
US20040056017A1 (en) * | 2002-03-18 | 2004-03-25 | Renken Wayne Glenn | System and method for heating and cooling wafer at accelerated rates |
US20040065255A1 (en) | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US20040069226A1 (en) | 2002-10-09 | 2004-04-15 | Tokyo Electron Limited | Substrate processing method and substrate processing system |
US20040083975A1 (en) | 2002-09-20 | 2004-05-06 | Lam Research Corporation | Apparatus for reducing polymer deposition on a substrate and substrate support |
US20040089236A1 (en) | 2002-06-28 | 2004-05-13 | Toshiba Ceramics Co., Ltd. | Semiconductor wafer treatment member |
US20040092073A1 (en) | 2002-11-08 | 2004-05-13 | Cyril Cabral | Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures |
US20040105738A1 (en) | 2002-11-29 | 2004-06-03 | Ahn Yo-Han | Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module |
JP2004163293A (en) | 2002-11-14 | 2004-06-10 | Iwatani Internatl Corp | Method and apparatus for measuring ozone gas concentration |
US20040127069A1 (en) | 1995-09-08 | 2004-07-01 | Semiconductor Energy Laboratory Co., Ltd. A Japan Corporation | Method and apparatus for manufacturing a semiconductor device |
US20040142577A1 (en) | 2001-01-22 | 2004-07-22 | Takuya Sugawara | Method for producing material of electronic device |
USD494552S1 (en) * | 2002-12-12 | 2004-08-17 | Tokyo Electron Limited | Exhaust ring for manufacturing semiconductors |
USD496008S1 (en) * | 2002-12-12 | 2004-09-14 | Tokyo Electron Limited | Exhaust ring for manufacturing semiconductors |
US20040187784A1 (en) | 2003-03-28 | 2004-09-30 | Fluens Corporation | Continuous flow deposition system |
US20040202786A1 (en) | 2001-05-22 | 2004-10-14 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US6812157B1 (en) | 1999-06-24 | 2004-11-02 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
US6815352B1 (en) * | 1999-11-09 | 2004-11-09 | Shin-Etsu Chemical Co., Ltd. | Silicon focus ring and method for producing the same |
US20040223893A1 (en) | 2003-05-09 | 2004-11-11 | Toshiba Mitsubishi-Electric Industrial Systems Corporation | Ozone generator |
US6841201B2 (en) | 2001-12-21 | 2005-01-11 | The Procter & Gamble Company | Apparatus and method for treating a workpiece using plasma generated from microwave radiation |
US20050009325A1 (en) | 2003-06-18 | 2005-01-13 | Hua Chung | Atomic layer deposition of barrier materials |
US20050017272A1 (en) | 2001-11-30 | 2005-01-27 | Kenya Yamashita | Semiconductor device and production method therefor |
US6861642B2 (en) | 2001-03-26 | 2005-03-01 | Ebara Corporation | Neutral particle beam processing apparatus |
US20050046825A1 (en) | 2003-07-25 | 2005-03-03 | Lightwind Corporation | Method and apparatus for chemical monitoring |
US6867859B1 (en) | 1999-08-03 | 2005-03-15 | Lightwind Corporation | Inductively coupled plasma spectrometer for process diagnostics and control |
US20050056780A1 (en) | 2003-09-17 | 2005-03-17 | Sionex Corporation | Solid-state gas flow generator and related systems, applications, and methods |
US20050059261A1 (en) | 2003-09-17 | 2005-03-17 | Cem Basceri | Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces |
US20050059264A1 (en) | 1998-09-29 | 2005-03-17 | David Cheung | CVD plasma assisted low dielectric constant films |
US20050056218A1 (en) | 2002-02-14 | 2005-03-17 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US6876191B2 (en) | 2002-02-25 | 2005-04-05 | Asm International N.V. | Apparatus for treating wafers, provided with a sensor box |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6883733B1 (en) | 2002-03-28 | 2005-04-26 | Novellus Systems, Inc. | Tapered post, showerhead design to improve mixing on dual plenum showerheads |
US20050095859A1 (en) | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050107627A1 (en) | 2001-11-30 | 2005-05-19 | Christian Dussarrat | Hexakis(monohydrocarbylamino) disilanes and method for the preparation thereof |
US20050109461A1 (en) | 2001-05-24 | 2005-05-26 | Sheng Sun | Chamber cleaning via rapid thermal process during a cleaning period |
US20050115946A1 (en) | 2003-12-02 | 2005-06-02 | Shim Kyu H. | Radical assisted oxidation apparatus |
US20050148162A1 (en) | 2004-01-02 | 2005-07-07 | Huajie Chen | Method of preventing surface roughening during hydrogen pre-bake of SiGe substrates using chlorine containing gases |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20050161434A1 (en) | 2002-03-29 | 2005-07-28 | Tokyo Electron Limited | Method for forming insulation film |
US20050183827A1 (en) | 2004-02-24 | 2005-08-25 | Applied Materials, Inc. | Showerhead mounting to accommodate thermal expansion |
US20050193948A1 (en) | 2004-03-08 | 2005-09-08 | Yuuzou Oohirabaru | Vacuum processing apparatus |
US20050208217A1 (en) | 2003-10-09 | 2005-09-22 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US6949204B1 (en) | 2000-08-08 | 2005-09-27 | Lam Research Corporation | Deformation reduction at the main chamber |
US20050229849A1 (en) | 2004-02-13 | 2005-10-20 | Applied Materials, Inc. | High productivity plasma processing chamber |
US6963052B2 (en) | 2002-06-05 | 2005-11-08 | Sumitomo Electric Industries, Ltd. | Heater module for semiconductor manufacturing equipment |
US20050253061A1 (en) | 2004-04-28 | 2005-11-17 | Sionex Corporation | Systems and methods for ion species analysis with enhanced condition control and data interpretation |
US20050252447A1 (en) | 2004-05-11 | 2005-11-17 | Applied Materials, Inc. | Gas blocker plate for improved deposition |
US20050252455A1 (en) | 2004-05-13 | 2005-11-17 | Tokyo Electron Limited | Substrate transfer mechanism and subtrate transfer apparatus including same, particle removal method for the subtrate transfer mechanism and apparatus, program for executing the method, and storage medium for storing the program |
WO2005112082A1 (en) | 2004-05-18 | 2005-11-24 | Ips Ltd. | Cyclic pulsed two-level plasma atomic layer deposition apparatus and method |
US20050271812A1 (en) | 2004-05-12 | 2005-12-08 | Myo Nyi O | Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials |
US20050284991A1 (en) | 2004-06-10 | 2005-12-29 | Humanscale Corporation | Mechanism for positional adjustment of an attached device |
US6984591B1 (en) | 2000-04-20 | 2006-01-10 | International Business Machines Corporation | Precursor source mixtures |
US20060009044A1 (en) | 2002-09-19 | 2006-01-12 | Masanobu Igeta | Method for forming insulating film on substrate, method for manufacturing semiconductor device and substrate-processing apparatus |
JP2006049352A (en) | 2004-07-30 | 2006-02-16 | Sumitomo Osaka Cement Co Ltd | Suscepter apparatus |
US20060048710A1 (en) | 2002-09-24 | 2006-03-09 | Tokyo Electron Limited | Substrate processing apparatus |
US20060057799A1 (en) | 2002-09-24 | 2006-03-16 | Tokyo Electron Limited | Substrate processing apparatus |
WO2006035281A1 (en) | 2004-09-28 | 2006-04-06 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Precursor for film formation and method for forming ruthenium-containing film |
US7049226B2 (en) | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US20060108221A1 (en) | 2004-11-24 | 2006-05-25 | William Goodwin | Method and apparatus for improving measuring accuracy in gas monitoring systems |
US20060113038A1 (en) | 2004-11-29 | 2006-06-01 | Applied Materials, Inc. | Gas distribution system for improved transient phase deposition |
US20060141155A1 (en) | 2002-11-15 | 2006-06-29 | Havard University | Atomic layer deposition using metal amidinates |
US20060137608A1 (en) | 2004-12-28 | 2006-06-29 | Choi Seung W | Atomic layer deposition apparatus |
US20060151117A1 (en) | 2003-04-18 | 2006-07-13 | Hitachi Kokusai Electronic Inc. | Semiconductor producing device and semiconductor producing method |
US20060166428A1 (en) | 2005-01-24 | 2006-07-27 | Isao Kamioka | Semiconductor device and method of fabricating the same |
US20060165892A1 (en) | 2005-01-27 | 2006-07-27 | Applied Materials, Inc. | Ruthenium containing layer deposition method |
US7109098B1 (en) | 2005-05-17 | 2006-09-19 | Applied Materials, Inc. | Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US20060228898A1 (en) | 2005-03-30 | 2006-10-12 | Cory Wajda | Method and system for forming a high-k dielectric layer |
US20060240187A1 (en) | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
US20060257563A1 (en) | 2004-10-13 | 2006-11-16 | Seok-Joo Doh | Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique |
US7144809B2 (en) | 1999-10-15 | 2006-12-05 | Asm International N.V. | Production of elemental films using a boron-containing reducing agent |
US20060275710A1 (en) | 2005-06-02 | 2006-12-07 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US20070020160A1 (en) | 2005-07-07 | 2007-01-25 | Mks Instruments, Inc. | Ozone system for multi-chamber tools |
US20070026162A1 (en) | 2005-07-29 | 2007-02-01 | Hung-Wen Wei | Operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof |
US20070032045A1 (en) | 2003-11-20 | 2007-02-08 | Hitachi Kokusai Electric Inc. | Method for manufacturing semiconductor device and substrate processing apparatus |
US20070062646A1 (en) | 2000-09-25 | 2007-03-22 | Hitachi Kokusai Electric Inc. | Method and apparatus for processing substrates |
US20070066038A1 (en) | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US7202512B2 (en) | 2004-02-17 | 2007-04-10 | Industrial Technology Research Institute | Construction of thin strain-relaxed SiGe layers and method for fabricating the same |
US7204886B2 (en) | 2002-11-14 | 2007-04-17 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US20070087296A1 (en) | 2005-10-18 | 2007-04-19 | Samsung Electronics Co., Ltd. | Gas supply device and apparatus for processing a substrate |
US20070095286A1 (en) | 2004-12-16 | 2007-05-03 | Yong-Ku Baek | Apparatus and method for thin film deposition |
US20070095283A1 (en) | 2005-10-31 | 2007-05-03 | Galewski Carl J | Pumping System for Atomic Layer Deposition |
US20070128858A1 (en) | 2005-12-05 | 2007-06-07 | Suvi Haukka | Method of producing thin films |
US20070131168A1 (en) | 2005-10-31 | 2007-06-14 | Hisashi Gomi | Gas Supplying unit and substrate processing apparatus |
US20070134919A1 (en) | 2005-12-08 | 2007-06-14 | Tokyo Electron Limited | Film forming method and apparatus |
US20070148347A1 (en) | 2005-12-22 | 2007-06-28 | Timo Hatanpaa | Process for producing oxide films |
US20070184179A1 (en) | 2006-02-09 | 2007-08-09 | Akshay Waghray | Methods and apparatus to monitor a process of depositing a constituent of a multi-constituent gas during production of a composite brake disc |
US20070190782A1 (en) | 2006-02-15 | 2007-08-16 | Hyung-Sang Park | Method of depositing Ru films having high density |
US20070190362A1 (en) | 2005-09-08 | 2007-08-16 | Weidman Timothy W | Patterned electroless metallization processes for large area electronics |
US20070231488A1 (en) | 2004-04-30 | 2007-10-04 | Hans Von Kaenel | Method for Producing Virtual Ge Substrates for III/V-Integration on Si(001) |
US20070252233A1 (en) | 2006-04-28 | 2007-11-01 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for manufacturing the semiconductor device |
US20070258855A1 (en) | 1999-04-30 | 2007-11-08 | Tso3, Inc. | Method and apparatus for ozone sterilization |
US20070261868A1 (en) | 2006-05-12 | 2007-11-15 | Gross James R | Magnetic torque-limiting device and method |
WO2007131051A1 (en) | 2006-05-05 | 2007-11-15 | Applied Materials, Inc. | Method for fabricating a gate dielectric of a field effect transistor |
US20070269983A1 (en) | 2003-06-27 | 2007-11-22 | Ofer Sneh | Ald Apparatus and Method |
USD556704S1 (en) * | 2005-08-25 | 2007-12-04 | Hitachi High-Technologies Corporation | Grounded electrode for a plasma processing apparatus |
USD557226S1 (en) * | 2005-08-25 | 2007-12-11 | Hitachi High-Technologies Corporation | Electrode cover for a plasma processing apparatus |
US20070292974A1 (en) | 2005-02-17 | 2007-12-20 | Hitachi Kokusai Electric Inc | Substrate Processing Method and Substrate Processing Apparatus |
USD558021S1 (en) | 2003-01-30 | 2007-12-25 | Roger Lawrence | Metal fabrication clamp |
USD559994S1 (en) * | 2005-03-30 | 2008-01-15 | Tokyo Electron Limited | Cover ring |
USD559993S1 (en) * | 2005-03-30 | 2008-01-15 | Tokyo Electron Limited | Cover ring |
US20080026162A1 (en) | 2006-07-29 | 2008-01-31 | Dickey Eric R | Radical-enhanced atomic layer deposition system and method |
US7326656B2 (en) | 2004-09-30 | 2008-02-05 | Intel Corporation | Method of forming a metal oxide dielectric |
US20080044938A1 (en) | 2006-08-15 | 2008-02-21 | Varian Semiconductor Equipment Associates, Inc. | Technique for low-temperature ion implantation |
US20080063798A1 (en) | 2006-08-30 | 2008-03-13 | Kher Shreyas S | Precursors and hardware for cvd and ald |
US20080067146A1 (en) | 2006-09-15 | 2008-03-20 | Katsuhiko Onishi | Plasma processing apparatus, method for detecting abnormality of plasma processing apparatus and plasma processing method |
JP2008085129A (en) | 2006-09-28 | 2008-04-10 | Taiheiyo Cement Corp | Substrate mounting apparatus |
WO2008045972A2 (en) | 2006-10-10 | 2008-04-17 | Asm America, Inc. | Precursor delivery system |
JP2008089320A (en) | 2006-09-29 | 2008-04-17 | Nicom Co Ltd | Flow rate measuring apparatus |
US20080102205A1 (en) | 2006-10-27 | 2008-05-01 | Barry Sean T | ALD of metal-containing films using cyclopentadienyl compounds |
US20080102208A1 (en) | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US20080124945A1 (en) | 2005-02-17 | 2008-05-29 | Hitachi Kokusa Electric Inc. | Production Method for Semiconductor Device and Substrate Processing Apparatus |
US20080128726A1 (en) | 2005-01-31 | 2008-06-05 | Ube Industries, Ltd., 1978 - 96 | Red Nitride Phosphor and Production Method Thereof |
USD571383S1 (en) * | 2005-07-29 | 2008-06-17 | Tokyo Electron Limited | Top panel for microwave introduction window of a plasma processing apparatus |
USD571831S1 (en) * | 2005-07-29 | 2008-06-24 | Tokyo Electron Limited | Top panel for microwave introduction window of a plasma processing apparatus |
US20080153308A1 (en) | 2004-02-27 | 2008-06-26 | Hitachi Kokusai Electric Inc. | Substrate Processing Apparatus |
US20080176335A1 (en) | 2006-12-29 | 2008-07-24 | Thermo Fisher Scientific Inc. | Apparatus and method for generating nitrogen oxides |
US20080176412A1 (en) | 2007-01-22 | 2008-07-24 | Elpida Memory, Inc. | Atomic layer deposition system including a plurality of exhaust tubes |
JP2008172083A (en) | 2007-01-12 | 2008-07-24 | Sharp Corp | Vapor growth device and vapor growth method |
US20080182411A1 (en) | 2007-01-26 | 2008-07-31 | Asm America, Inc. | Plasma-enhanced ald of tantalum nitride films |
US20080193643A1 (en) | 2007-02-12 | 2008-08-14 | Tokyo Electron Limited | Atomic layer deposition systems and methods |
US20080194105A1 (en) | 2007-02-14 | 2008-08-14 | Juan Dominguez | Organometallic precursors for seed/barrier processes and methods thereof |
US20080210278A1 (en) | 2001-08-02 | 2008-09-04 | Takehiko Orii | Substrate processing apparatus |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US20080223130A1 (en) | 2007-03-13 | 2008-09-18 | Provina Incorporated | Method and device for measuring density of a liquid |
US20080241052A1 (en) | 2007-03-29 | 2008-10-02 | Hooper David M | Apparatus, method and system for delivering oxygen-ozone |
US20080268171A1 (en) | 2005-11-04 | 2008-10-30 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US20080272424A1 (en) | 2007-05-03 | 2008-11-06 | Hynix Semiconductor Inc. | Nonvolatile Memory Device Having Fast Erase Speed And Improved Retention Characteristics And Method For Fabricating The Same |
US20080274369A1 (en) | 2005-04-21 | 2008-11-06 | Lee Eal H | Novel Ruthenium-Based Materials and Ruthenium Alloys, Their Use in Vapor Deposition or Atomic Layer Deposition and Films Produced Therefrom |
US20080277647A1 (en) | 2004-09-16 | 2008-11-13 | Arizona Board Of Regents, A Body Corporate Acting | Materials and Optical Devices Based on Group IV Quantum Wells Grown on Si-Ge-Sn Buffered Silicon |
US7456429B2 (en) | 2006-03-29 | 2008-11-25 | Eastman Kodak Company | Apparatus for atomic layer deposition |
US7467632B2 (en) | 2004-01-05 | 2008-12-23 | Hynix Semiconductor Inc. | Method for forming a photoresist pattern |
USD583395S1 (en) * | 2006-12-15 | 2008-12-23 | Tokyo Electron Limited | Cover for a heater stage of a plasma processing apparatus |
US20090047433A1 (en) | 2007-08-13 | 2009-02-19 | Chun-Sik Kim | Substrate processing apparatus and method |
US20090053900A1 (en) | 2006-04-07 | 2009-02-26 | Tokyo Electron Limited | Processing Apparatus and Processing Method |
US20090056112A1 (en) | 2007-09-03 | 2009-03-05 | Shinko Electric Industries Co., Ltd. | Electrostatic chuck member, method of manufacturing the same, and electrostatic chuck device |
US7504344B2 (en) | 2004-08-09 | 2009-03-17 | Asm Japan K.K. | Method of forming a carbon polymer film using plasma CVD |
US20090087585A1 (en) | 2007-09-28 | 2009-04-02 | Wei Ti Lee | Deposition processes for titanium nitride barrier and aluminum |
US20090104594A1 (en) | 2004-12-29 | 2009-04-23 | Biogen Idec | Bioreactor Process Control System and Method |
US20090116936A1 (en) | 2007-10-22 | 2009-05-07 | Hitachi-Kokusai Electric Inc. | Substrate processing apparatus |
US20090117717A1 (en) | 2007-11-05 | 2009-05-07 | Asm America, Inc. | Methods of selectively depositing silicon-containing films |
US20090124131A1 (en) | 2007-11-09 | 2009-05-14 | Electronic Controls Design | Thermocouple adapter |
US20090137055A1 (en) | 2005-09-30 | 2009-05-28 | Bognar John A | Measuring nitrogen oxides and other gases by ozone formation |
USD593585S1 (en) * | 2005-07-29 | 2009-06-02 | Tokyo Electron Limited | Top panel for microwave introduction window of a plasma processing apparatus |
US7544398B1 (en) | 2005-04-26 | 2009-06-09 | The Regents Of The Univesity Of California | Controlled nano-doping of ultra thin films |
US20090159002A1 (en) | 2007-12-19 | 2009-06-25 | Kallol Bera | Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution |
US20090165722A1 (en) | 2007-12-26 | 2009-07-02 | Jusung Engineering Co., Ltd | Apparatus for treating substrate |
US20090166616A1 (en) | 2007-12-26 | 2009-07-02 | Hitachi, Ltd. | Oxide semiconductor device and surface treatment method of oxide semiconductor |
US20090165721A1 (en) * | 2007-12-27 | 2009-07-02 | Memc Electronic Materials, Inc. | Susceptor with Support Bosses |
US20090186571A1 (en) | 2008-01-22 | 2009-07-23 | Asm America, Inc. | Air ventilation system |
US20090197411A1 (en) | 2008-02-01 | 2009-08-06 | Christian Dussarrat | New metal precursors containing beta-diketiminato ligands |
US20090223441A1 (en) | 2006-11-22 | 2009-09-10 | Chantal Arena | High volume delivery system for gallium trichloride |
US20090250004A1 (en) | 2005-12-06 | 2009-10-08 | Ulvac, Inc. | Gas Head and Thin-Film Manufacturing Apparatus |
US20090267225A1 (en) | 2008-04-25 | 2009-10-29 | Shingo Eguchi | Semiconductor device and method for manufacturing the same |
US20090275210A1 (en) | 2008-05-02 | 2009-11-05 | Sunil Shanker | Combinatorial plasma enhanced deposition techniques |
US20090280248A1 (en) | 2008-05-06 | 2009-11-12 | Asm America, Inc. | Porous substrate holder with thinned portions |
US20090298257A1 (en) | 2008-05-30 | 2009-12-03 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US20090324989A1 (en) | 2008-05-29 | 2009-12-31 | Gregoire Etienne Witz | Multilayer thermal barrier coating |
US20100025766A1 (en) | 2006-12-15 | 2010-02-04 | Nxp, B.V. | Transistor device and method of manufacturing such a transistor device |
USD609652S1 (en) * | 2008-07-22 | 2010-02-09 | Tokyo Electron Limited | Wafer attracting plate |
US20100034719A1 (en) | 2008-08-06 | 2010-02-11 | Christian Dussarrat | Novel lanthanide beta-diketonate precursors for lanthanide thin film deposition |
US20100055316A1 (en) | 2008-09-04 | 2010-03-04 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium |
US20100055312A1 (en) | 2008-09-04 | 2010-03-04 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium |
US20100055898A1 (en) | 2008-09-04 | 2010-03-04 | Shuo-Che Chang | Method for fabricating an integrated circuit |
US20100051584A1 (en) | 2005-10-12 | 2010-03-04 | Shogo Okita | Plasma processing apparatus and plasma processing method |
US20100075037A1 (en) | 2008-09-22 | 2010-03-25 | Marsh Eugene P | Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods |
US20100086703A1 (en) | 2008-10-03 | 2010-04-08 | Veeco Compound Semiconductor, Inc. | Vapor Phase Epitaxy System |
USD614593S1 (en) * | 2008-07-21 | 2010-04-27 | Asm Genitech Korea Ltd | Substrate support for a semiconductor deposition apparatus |
US20100111648A1 (en) | 2007-01-31 | 2010-05-06 | Tokyo Electron Limited | Substrate processing apparatus and particle adhesion preventing method |
US7712435B2 (en) | 2004-09-28 | 2010-05-11 | Asm Japan K.K. | Plasma processing apparatus with insulated gas inlet pore |
US20100119439A1 (en) | 2008-11-07 | 2010-05-13 | Tokyo Electron Limited | Ozone gas concentration measurement method, ozone gas concentration measurement system, and substrate processing apparatus |
USD616394S1 (en) * | 2009-03-06 | 2010-05-25 | Tokyo Electron Limited | Support of wafer boat for manufacturing semiconductor wafers |
US20100129548A1 (en) | 2003-06-27 | 2010-05-27 | Sundew Technologies, Llc | Ald apparatus and method |
JP2010123843A (en) | 2008-11-21 | 2010-06-03 | Kyocera Corp | Electrostatic chuck |
US20100140684A1 (en) | 2008-12-10 | 2010-06-10 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device and method for manufacturing the same |
US20100159707A1 (en) | 2004-04-30 | 2010-06-24 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
US20100166630A1 (en) | 2008-12-23 | 2010-07-01 | Mks Instruments, Inc. | Reactive chemical containment system |
KR20100079920A (en) | 2008-12-31 | 2010-07-08 | 엘아이지에이디피 주식회사 | Apparatus for joining of substrate |
US7758698B2 (en) | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US20100209598A1 (en) | 2009-02-13 | 2010-08-19 | Advanced Technology Materials, Inc. | IN SITU GENERATION OF RuO4 FOR ALD OF Ru AND Ru RELATED MATERIALS |
US7780789B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US7799706B2 (en) | 2008-02-11 | 2010-09-21 | Sungkyunkwan University Foundation For Corporate Collaboration | Neutral beam-assisted atomic layer chemical vapor deposition apparatus and method of processing substrate using the same |
USD625977S1 (en) | 2010-02-25 | 2010-10-26 | Vertex Stone and Chinaware Ltd. | Spacer tool |
US20100279008A1 (en) | 2007-09-12 | 2010-11-04 | Tokyo Electron Limited | Film deposition apparatus and film deposition method |
US7833348B2 (en) | 2005-09-21 | 2010-11-16 | Sumco Corporation | Temperature control method of epitaxial growth apparatus |
US20110021033A1 (en) | 2009-07-22 | 2011-01-27 | Tokyo Electron Limited | Batch cvd method and apparatus for semiconductor process |
US20110031562A1 (en) | 2009-08-07 | 2011-02-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Sealing layer of a field effect transistor |
US7894474B1 (en) | 1999-09-10 | 2011-02-22 | Koninklijke Philips Electronics N.V. | Remote control of an electronic device through downloading of a control interface of the electronic device in a mobile station |
US20110042200A1 (en) | 2008-03-25 | 2011-02-24 | Anthony Wilby | Method of depositing amorphus aluminium oxynitride layer by reactive sputtering of an aluminium target in a nitrogen/oxygen atmosphere |
US20110065289A1 (en) | 2009-09-14 | 2011-03-17 | Hitachi-Kokusai Electric Inc. | Method of manufacturing semiconductor device and substrate processing apparatus |
US20110070740A1 (en) | 2009-09-18 | 2011-03-24 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US7919142B2 (en) | 2005-03-22 | 2011-04-05 | Sungkyunkwan University Foundation For Corporate Collaboration | Atomic layer deposition apparatus using neutral beam and method of depositing atomic layer using the same |
US20110089166A1 (en) | 2007-01-15 | 2011-04-21 | Aaron Muir Hunter | Temperature measurement and control of wafer support in thermal processing chamber |
US20110092077A1 (en) | 2006-03-31 | 2011-04-21 | Huiwen Xu | Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics |
US20110117492A1 (en) | 2009-11-13 | 2011-05-19 | Tokyo Electron Limited | Photoresist coating and developing apparatus, substrate transfer method and interface apparatus |
US20110143461A1 (en) | 2009-12-15 | 2011-06-16 | Varian Semiconductor Equipment Associates, Inc. | In vacuum optical wafer heater for cryogenic processing |
US20110159200A1 (en) | 2008-09-08 | 2011-06-30 | Shibaura Mechatronics Coporation | Substrate holding member, substrate processing apparatus, and substrate processing method |
US7994070B1 (en) | 2010-09-30 | 2011-08-09 | Tokyo Electron Limited | Low-temperature dielectric film formation by chemical vapor deposition |
US20110204025A1 (en) | 2006-08-15 | 2011-08-25 | Tokyo Electron Limited | Substrate processing method |
US20110217838A1 (en) | 2010-03-05 | 2011-09-08 | Asm Japan K.K. | Method for forming interconnect structure having airgap |
JP2011181681A (en) | 2010-03-01 | 2011-09-15 | Mitsui Eng & Shipbuild Co Ltd | Atomic layer deposition method and atomic layer deposition device |
US20110223334A1 (en) | 2010-03-12 | 2011-09-15 | Applied Materials, Inc. | Atomic layer deposition chamber with multi inject |
US20110264250A1 (en) | 2008-12-15 | 2011-10-27 | Tokyo Electron Limited | Substrate processing system, substrate processing method and storage medium storing program |
USD649986S1 (en) * | 2010-08-17 | 2011-12-06 | Ebara Corporation | Sealing ring |
USRE43023E1 (en) | 2000-04-17 | 2011-12-13 | Hitachi Kokusai Electric Inc. | Dual loading port semiconductor processing equipment |
US20120028469A1 (en) | 2010-07-30 | 2012-02-02 | Asm Japan K.K. | METHOD OF TAILORING CONFORMALITY OF Si-CONTAINING FILM |
US20120024223A1 (en) | 2010-07-02 | 2012-02-02 | Matheson Tri-Gas, Inc. | Thin films and methods of making them using cyclohexasilane |
USD654882S1 (en) | 2010-10-21 | 2012-02-28 | Tokyo Electron Limited | Gas-separating plate for reactor for manufacturing semiconductor |
USD655261S1 (en) | 2010-10-21 | 2012-03-06 | Tokyo Electron Limited | Gas-separating plate for reactor for manufacturing semiconductor |
USD655260S1 (en) | 2010-10-21 | 2012-03-06 | Tokyo Electron Limited | Gas-separating plate for reactor for manufacturing semiconductor |
CN102373440A (en) | 2010-08-12 | 2012-03-14 | Snt能源技术有限公司 | Chemical vapor deposition device |
US20120088031A1 (en) | 2010-10-08 | 2012-04-12 | Adixen Vacuum Products | Gas discharge pipe and associated method |
US20120108048A1 (en) | 2010-11-01 | 2012-05-03 | Samsung Electronics Co., Ltd. | Three-dimensional semiconductor devices and methods of fabricating the same |
US20120126300A1 (en) | 2010-11-23 | 2012-05-24 | Kiyeon Park | Capacitors, semiconductor devices including the same and methods of manufacturing the semiconductor devices |
US20120149207A1 (en) | 2005-10-31 | 2012-06-14 | Graff Wesley P | Method for etching organic hardmasks |
US8206506B2 (en) | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
US20120164846A1 (en) | 2010-12-28 | 2012-06-28 | Asm Japan K.K. | Method of Forming Metal Oxide Hardmask |
US20120180719A1 (en) | 2011-01-14 | 2012-07-19 | Tokyo Electron Limited | Film forming apparatus |
JP2012164736A (en) | 2011-02-04 | 2012-08-30 | Hitachi Kokusai Electric Inc | Substrate processing apparatus and semiconductor device manufacturing method |
US20120258257A1 (en) | 2003-02-04 | 2012-10-11 | Asm International N.V. | Nanolayer deposition process |
US20120295449A1 (en) | 2011-02-18 | 2012-11-22 | Asm Japan K.K. | Method of Depositing Dielectric Film by ALD Using Precursor Containing Silicon, Hydrocarbon, and Halogen |
US20120309181A1 (en) | 2011-06-01 | 2012-12-06 | Asm International N.V. | Process for depositing electrode with high effective work function |
US20120318773A1 (en) | 2011-06-15 | 2012-12-20 | Applied Materials, Inc. | Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control |
US20130005147A1 (en) | 2011-06-28 | 2013-01-03 | International Business Machines Corporation | Method of reducing critical dimension process bias differences between narrow and wide damascene wires |
US20130019945A1 (en) | 2011-07-21 | 2013-01-24 | International Business Machines Corporation | Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys |
US20130059078A1 (en) | 2010-02-23 | 2013-03-07 | Julien Gatineau | Use of ruthenium tetroxide as a precursor and reactant for thin film depositions |
US8402918B2 (en) | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US20130078376A1 (en) | 2010-04-01 | 2013-03-28 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors |
US20130093048A1 (en) | 2011-10-17 | 2013-04-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposited Material and Method of Formation |
US20130122722A1 (en) | 2010-07-07 | 2013-05-16 | Advanced Technology Materials, Inc. | DOPING OF ZrO2 FOR DRAM APPLICATIONS |
US8465903B2 (en) | 2010-10-06 | 2013-06-18 | Applied Materials, Inc. | Radiation patternable CVD film |
US20130168353A1 (en) | 2010-10-08 | 2013-07-04 | Shogo Okita | Plasma processing method for substrates |
US20130196502A1 (en) | 2011-12-09 | 2013-08-01 | ASM International. N.V. | Selective formation of metallic films on metallic surfaces |
US20130203267A1 (en) | 2012-02-06 | 2013-08-08 | Asm Ip Holding B.V. | Multiple vapor sources for vapor deposition |
US20130228225A1 (en) | 2012-03-01 | 2013-09-05 | Novellus Systems, Inc. | Sequential cascading of reaction volumes as a chemical reuse strategy |
US20130234203A1 (en) | 2012-03-08 | 2013-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Devices and Methods of Manufacture Thereof |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
US20130280891A1 (en) | 2012-04-20 | 2013-10-24 | Yihwan Kim | Method and apparatus for germanium tin alloy formation by thermal cvd |
US8573154B2 (en) | 2010-01-13 | 2013-11-05 | Honda Motor Co., Ltd. | Plasma film forming apparatus |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
US20130299944A1 (en) | 2012-05-14 | 2013-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and Apparatus for Bipolar Junction Transistors and Resistors |
US20130302520A1 (en) | 2012-05-11 | 2013-11-14 | Kai-An Wang | Co-evaporation system comprising vapor pre-mixer |
US8586484B2 (en) | 2009-03-04 | 2013-11-19 | Fuji Electric Co., Ltd. | Film forming method and film forming apparatus |
US20130309876A1 (en) | 2010-11-29 | 2013-11-21 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus |
US20130312663A1 (en) | 2012-05-22 | 2013-11-28 | Applied Microstructures, Inc. | Vapor Delivery Apparatus |
USD694790S1 (en) | 2011-09-20 | 2013-12-03 | Tokyo Electron Limited | Baffle plate for manufacturing semiconductor |
US20130323859A1 (en) | 2012-06-01 | 2013-12-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method of monitoring and controlling atomic layer deposition of tungsten |
USD697038S1 (en) | 2011-09-20 | 2014-01-07 | Tokyo Electron Limited | Baffle plate |
US20140017414A1 (en) | 2012-07-16 | 2014-01-16 | Asm Ip Holding B.V. | Method for Forming Aluminum Oxide Film Using Al Compound Containing Alkyl Group and Alkoxy or Alkylamine Group |
US20140017908A1 (en) | 2012-07-11 | 2014-01-16 | Asm Ip Holding B.V. | Method for Forming Conformal, Homogeneous Dielectric Film by Cyclic Deposition and Heat Treatment |
US20140034632A1 (en) | 2012-08-01 | 2014-02-06 | Heng Pan | Apparatus and method for selective oxidation at lower temperature using remote plasma source |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US20140120678A1 (en) | 2012-10-29 | 2014-05-01 | Matheson Tri-Gas | Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US20140141165A1 (en) | 2011-05-27 | 2014-05-22 | Hiroki Sato | Method for manufacturing molybdenum oxide-containing thin film, starting material for forming molybdenum oxide-containing thin film, and molybdenum amide compound |
US8741062B2 (en) | 2008-04-22 | 2014-06-03 | Picosun Oy | Apparatus and methods for deposition reactors |
US20140162401A1 (en) | 2011-08-19 | 2014-06-12 | Tokyo Electron Limited | Ge-Sb-Te FILM FORMING METHOD, Ge-Te FILM FORMING METHOD, AND Sb-Te FILM FORMING METHOD |
USD709537S1 (en) * | 2011-09-30 | 2014-07-22 | Tokyo Electron Limited | Focusing ring |
USD709536S1 (en) * | 2011-09-30 | 2014-07-22 | Tokyo Electron Limited | Focusing ring |
US20140209976A1 (en) | 2013-01-25 | 2014-07-31 | Samsung Electronics Co., Ltd. | Transistors and methods of manufacturing the same |
US20140227444A1 (en) | 2013-02-13 | 2014-08-14 | Wayne State University | Synthesis And Characterization Of First Row Transition Metal Complexes Containing a-Imino Alkoxides As Precursors For Deposition Of Metal Films |
US20140234992A1 (en) | 2011-09-27 | 2014-08-21 | Tokyo Electron Limited | Plasma etching method and semiconductor device manufacturing method |
US20140231922A1 (en) | 2013-02-19 | 2014-08-21 | GlobalFoundries, Inc. | Semiconductor gate structure for threshold voltage modulation and method of making same |
US8828886B2 (en) | 2009-10-05 | 2014-09-09 | Tohoku University | Low dielectric constant insulating film and method for forming the same |
US20140262193A1 (en) * | 2013-03-13 | 2014-09-18 | Techest Co., Ltd. | Edge ring cooling module for semi-conductor manufacture chuck |
US8859368B2 (en) | 2012-09-04 | 2014-10-14 | Globalfoundries Inc. | Semiconductor device incorporating a multi-function layer into gate stacks |
US20140322862A1 (en) | 2013-04-29 | 2014-10-30 | Asm Ip Holding B.V. | Method of making a resistive random access memory device with metal-doped resistive switching layer |
US8876974B2 (en) | 2009-07-28 | 2014-11-04 | Ligadp Co., Ltd. | Chemical vapor deposition apparatus capable of controlling discharging fluid flow path in reaction chamber |
USD716742S1 (en) * | 2013-09-13 | 2014-11-04 | Asm Ip Holding B.V. | Substrate supporter for semiconductor deposition apparatus |
US8900999B1 (en) | 2013-08-16 | 2014-12-02 | Applied Materials, Inc. | Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application |
US20150031218A1 (en) | 2012-03-15 | 2015-01-29 | Tokyo Electron Limited | Film forming process and film forming apparatus |
US20150056821A1 (en) | 2013-08-22 | 2015-02-26 | Asm Ip Holding B.V. | Method for Forming SiOCH Film Using Organoaminosilane Annealing |
USD724553S1 (en) * | 2013-09-13 | 2015-03-17 | Asm Ip Holding B.V. | Substrate supporter for semiconductor deposition apparatus |
US8980006B2 (en) | 2011-01-11 | 2015-03-17 | Dms Co., Ltd. | Apparatus for chemical vapor deposition |
US20150091134A1 (en) | 2012-04-05 | 2015-04-02 | Dyson Technology Limited | Atomic layer deposition |
US9004744B1 (en) | 2009-03-30 | 2015-04-14 | Techni-Blend, Inc. | Fluid mixer using countercurrent injection |
US9029244B2 (en) | 2005-01-19 | 2015-05-12 | Samsung Electronics Co., Ltd. | Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus |
US20150147875A1 (en) | 2011-11-04 | 2015-05-28 | ASM International.N.V. | Methods for forming doped silicon oxide thin films |
US20150167165A1 (en) | 2012-06-15 | 2015-06-18 | Picosun Oy | Coating a substrate web by atomic layer deposition |
US20150170975A1 (en) | 2013-12-17 | 2015-06-18 | Texas Instruments Incorporated | Elongated contacts using litho-freeze-litho-etch process |
US20150167705A1 (en) | 2013-12-17 | 2015-06-18 | Samsung Electronics Co., Ltd. | Substrate treating apparatus and blocker plate assembly |
US20150167162A1 (en) | 2012-07-13 | 2015-06-18 | Gallium Enterprises Pty Ltd | Apparatus and method for film formation |
US20150179640A1 (en) | 2013-12-19 | 2015-06-25 | Globalfoundries Inc. | Common fabrication of different semiconductor devices with different threshold voltages |
USD733262S1 (en) | 2014-05-22 | 2015-06-30 | Young Boung Kang | Disposer of connection member for kitchen sink bowl |
USD733257S1 (en) | 2014-02-14 | 2015-06-30 | Hansgrohe Se | Overhead shower |
US9076726B2 (en) | 2012-12-31 | 2015-07-07 | Imec | Method for tuning the effective work function of a gate structure in a semiconductor device |
US20150203961A1 (en) | 2014-01-21 | 2015-07-23 | Applied Materials, Inc. | Methods for forming a cobalt-ruthenium liner layer for interconnect structures |
WO2015112728A1 (en) | 2014-01-23 | 2015-07-30 | Ultratech, Inc. | Vapor delivery system |
USD736348S1 (en) | 2014-07-07 | 2015-08-11 | Jiangmen Triumph Rain Showers Co., LTD | Spray head for a shower |
US20150240357A1 (en) | 2014-02-25 | 2015-08-27 | Tokyo Electron Limited | Substrate processing apparatus using rotatable table |
US20150262828A1 (en) | 2014-03-14 | 2015-09-17 | Applied Materials, Inc. | MULTI-THRESHOLD VOLTAGE (Vt) WORKFUNCTION METAL BY SELECTIVE ATOMIC LAYER DEPOSITION (ALD) |
US20150287612A1 (en) | 2014-04-07 | 2015-10-08 | Applied Materials, Inc. | Spacer formation |
US20150287591A1 (en) | 2013-10-16 | 2015-10-08 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US20150311151A1 (en) | 2014-04-28 | 2015-10-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect Structure Having Air Gap and Method of Forming the Same |
US9184054B1 (en) | 2014-04-25 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US20150322569A1 (en) | 2011-04-07 | 2015-11-12 | Picosun Oy | Atomic Layer Deposition with Plasma Source |
US20150345018A1 (en) | 2013-01-16 | 2015-12-03 | Universiteit Gent | Methods for Obtaining Hydrophilic Fluoropolymers |
USD745641S1 (en) | 2011-06-20 | 2015-12-15 | Neoperl Gmbh | Stream straightener for faucet |
US20150372056A1 (en) | 2014-06-18 | 2015-12-24 | Dong-Jun Seong | Semiconductor diodes, and variable resistance memory devices |
US20160002786A1 (en) | 2013-03-15 | 2016-01-07 | L'Air Liquide, Société Anonyme pour l'Etude et I'Exploitation des Procédés Georges Claude | Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films |
US20160002776A1 (en) * | 2013-02-21 | 2016-01-07 | Altatech Semiconductor | Chemical vapor deposition device |
US20160024655A1 (en) | 2013-03-15 | 2016-01-28 | Joseph Yudovsky | Atmospheric Lid With Rigid Plate For Carousel Processing Chambers |
US9252238B1 (en) | 2014-08-18 | 2016-02-02 | Lam Research Corporation | Semiconductor structures with coplanar recessed gate layers and fabrication methods |
US20160042954A1 (en) | 2014-08-06 | 2016-02-11 | Globalfoundries Inc. | Replacement metal gate and fabrication process with reduced lithography steps |
USD751176S1 (en) | 2014-08-07 | 2016-03-08 | Hansgrohe Se | Overhead shower |
US20160071750A1 (en) | 2014-09-09 | 2016-03-10 | Asm Ip Holding B.V. | Assembly of liner and flange for vertical furnace as well as a vertical process furnace |
US9287273B2 (en) | 2014-06-06 | 2016-03-15 | Imec Vzw | Method for manufacturing a semiconductor device comprising transistors each having a different effective work function |
US20160085003A1 (en) | 2012-01-19 | 2016-03-24 | Supriya Jaiswal | Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications |
US9309978B2 (en) | 2013-03-14 | 2016-04-12 | Dresser-Rand Company | Low head to stem ratio poppet valve |
US9337057B2 (en) | 2014-07-21 | 2016-05-10 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
US20160148800A1 (en) | 2014-11-24 | 2016-05-26 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US20160148806A1 (en) | 2014-11-24 | 2016-05-26 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
JP2016098406A (en) | 2014-11-21 | 2016-05-30 | 東京エレクトロン株式会社 | Film deposition method of molybdenum film |
US9362137B2 (en) | 2014-08-18 | 2016-06-07 | Samsung Electronics Co., Ltd. | Plasma treating apparatus, substrate treating method, and method of manufacturing a semiconductor device |
US9362180B2 (en) | 2014-02-25 | 2016-06-07 | Globalfoundries Inc. | Integrated circuit having multiple threshold voltages |
US20160163558A1 (en) | 2014-12-04 | 2016-06-09 | Lam Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US20160172189A1 (en) | 2014-12-15 | 2016-06-16 | Tokyo Electron Limited | Method of selective gas phase film deposition on a substrate |
US9399228B2 (en) | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
US20160222504A1 (en) | 2015-02-03 | 2016-08-04 | Asm Ip Holding B.V. | Selective deposition |
US20160276212A1 (en) | 2015-03-20 | 2016-09-22 | Renesas Electronics Corporation | Method For Producing Semiconductor Device |
US20160273106A1 (en) | 2013-10-28 | 2016-09-22 | Safc Hitech Inc. | Metal complexes containing amidoimine ligands |
US20160281223A1 (en) | 2013-11-26 | 2016-09-29 | Ultratech, Inc. | Plasma enhanced ald system |
US20160365414A1 (en) | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFET Structures and Methods of Forming the Same |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US20160372321A1 (en) * | 2015-06-22 | 2016-12-22 | Veeco Instruments, Inc. | Self-Centering Wafer Carrier System For Chemical Vapor Deposition |
US20160379826A9 (en) | 2010-04-15 | 2016-12-29 | Lam Research Corporation | Capped ald films for doping fin-shaped channel regions of 3-d ic transistors |
US20170009367A1 (en) * | 2015-07-09 | 2017-01-12 | Applied Materials, Inc. | Wafer electroplating chuck assembly |
US20170018570A1 (en) | 2011-03-16 | 2017-01-19 | Macronix International Co., Ltd. | Capacitor With 3D NAND Memory |
US9570302B1 (en) | 2016-02-10 | 2017-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of patterning a material layer |
US20170044664A1 (en) | 2016-10-28 | 2017-02-16 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films |
US9576952B2 (en) | 2014-02-25 | 2017-02-21 | Globalfoundries Inc. | Integrated circuits with varying gate structures and fabrication methods |
US20170051406A1 (en) | 2015-08-17 | 2017-02-23 | Asm Ip Holding B.V. | Susceptor and substrate processing apparatus |
US20170051405A1 (en) | 2015-08-18 | 2017-02-23 | Asm Ip Holding B.V. | Method for forming sin or sicn film in trenches by peald |
US9583345B2 (en) | 2013-12-26 | 2017-02-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning |
US20170104061A1 (en) | 2015-10-07 | 2017-04-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained nanowire cmos device and method of forming |
US20170110601A1 (en) | 2015-10-16 | 2017-04-20 | Asm Ip Holding B.V. | Photoactive devices and materials |
US20170140925A1 (en) | 2015-11-12 | 2017-05-18 | Asm Ip Holding B.V. | FORMATION OF SiOCN THIN FILMS |
US20170148918A1 (en) | 2015-11-25 | 2017-05-25 | Applied Materials, Inc. | Materials for tensile stress and low contact resistance and method of forming |
US9684234B2 (en) | 2011-03-24 | 2017-06-20 | Uchicago Argonne, Llc | Sequential infiltration synthesis for enhancing multiple-patterning lithography |
US20170200622A1 (en) | 2014-05-30 | 2017-07-13 | Ebara Corporation | Vacuum evacuation system |
USD793976S1 (en) * | 2013-05-15 | 2017-08-08 | Ebara Corporation | Substrate retaining ring |
US20170226636A1 (en) | 2016-02-08 | 2017-08-10 | Illinois Tool Works Inc | Method and system for the localized deposit of metal on a surface |
USD795208S1 (en) * | 2015-08-18 | 2017-08-22 | Tokyo Electron Limited | Electrostatic chuck for semiconductor manufacturing equipment |
US9748145B1 (en) | 2016-02-29 | 2017-08-29 | Globalfoundries Inc. | Semiconductor devices with varying threshold voltage and fabrication methods thereof |
US20170278705A1 (en) | 2016-03-23 | 2017-09-28 | Tokyo Electron Limited | Nitride film forming method and storage medium |
JP2017183242A (en) | 2016-03-31 | 2017-10-05 | 株式会社ノリタケカンパニーリミテド | PdRu ALLOY ELECTRODE MATERIAL AND PRODUCTION METHOD THEREOF |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9820289B1 (en) | 2014-12-18 | 2017-11-14 | Sprint Spectrum L.P. | Method and system for managing quantity of carriers in air interface connection based on type of content |
US20170338133A1 (en) | 2016-05-19 | 2017-11-23 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US20170338134A1 (en) | 2016-05-19 | 2017-11-23 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US20170358482A1 (en) | 2016-06-08 | 2017-12-14 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US20170373188A1 (en) | 2016-06-28 | 2017-12-28 | International Business Machines Corporation | Fabrication of a vertical fin field effect transistor with an asymmetric gate structure |
US20180005814A1 (en) | 2016-07-01 | 2018-01-04 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
US9865815B2 (en) | 2015-09-24 | 2018-01-09 | Lam Research Coporation | Bromine containing silicon precursors for encapsulation layers |
US20180019165A1 (en) | 2016-07-14 | 2018-01-18 | Entegris, Inc. | CVD Mo DEPOSITION BY USING MoOCl4 |
USD810705S1 (en) * | 2016-04-01 | 2018-02-20 | Veeco Instruments Inc. | Self-centering wafer carrier for chemical vapor deposition |
US20180053660A1 (en) | 2016-08-16 | 2018-02-22 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US20180068862A1 (en) | 2016-03-28 | 2018-03-08 | Hitachi High-Technologies Corporation | Plasma processing method and plasma processing apparatus |
US20180090583A1 (en) | 2016-09-28 | 2018-03-29 | Samsung Electronics Co., Ltd. | Semiconductor Devices Having Reduced Contact Resistance |
US20180094351A1 (en) | 2016-09-30 | 2018-04-05 | Asm Ip Holding B.V. | Reactant vaporizer and related systems and methods |
US9951421B2 (en) | 2014-12-10 | 2018-04-24 | Lam Research Corporation | Inlet for effective mixing and purging |
US20180127876A1 (en) | 2014-08-21 | 2018-05-10 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US20180151588A1 (en) | 2016-11-28 | 2018-05-31 | Sandisk Technologies Llc | Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof |
USD819580S1 (en) * | 2016-04-01 | 2018-06-05 | Veeco Instruments, Inc. | Self-centering wafer carrier for chemical vapor deposition |
US20180158716A1 (en) | 2016-12-01 | 2018-06-07 | Lam Research Corporation | Pad raising mechanism in wafer positioning pedestal for semiconductor processing |
US9997373B2 (en) | 2014-12-04 | 2018-06-12 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US20180163305A1 (en) | 2016-12-14 | 2018-06-14 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US20180174801A1 (en) | 2016-12-21 | 2018-06-21 | Ulvac Technologies, Inc. | Apparatuses and methods for surface treatment |
US20180180509A1 (en) | 2015-07-29 | 2018-06-28 | Tokyo Electron Limited | Method for inspecting for leaks in gas supply system valves |
US20180189923A1 (en) | 2017-01-03 | 2018-07-05 | Qualcomm Incorporated | Draw call visibility stream |
US10018920B2 (en) | 2016-03-04 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with a gas phase resist |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
USD827592S1 (en) * | 2017-01-31 | 2018-09-04 | Hitachi High-Technologies Corporation | Electrode cover for a plasma processing apparatus |
US20180258532A1 (en) | 2017-03-08 | 2018-09-13 | Kabushiki Kaisha Toshiba | Shower plate, processing apparatus, and ejection method |
US20180269057A1 (en) | 2017-03-15 | 2018-09-20 | Versum Materials Us, Llc | Formulation for Deposition of Silicon Doped Hafnium Oxide as Ferroelectric Materials |
US20180286672A1 (en) | 2017-03-31 | 2018-10-04 | Asm Ip Holding B.V. | Semiconductor device with amorphous silicon filled gaps and methods for forming |
US20180286638A1 (en) | 2017-03-28 | 2018-10-04 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrate |
US20180294187A1 (en) | 2017-04-10 | 2018-10-11 | Lam Research Corporation | Low resistivity films containing molybdenum |
US10106892B1 (en) | 2017-08-31 | 2018-10-23 | Globalfoundries Inc. | Thermal oxide equivalent low temperature ALD oxide for dual purpose gate oxide and method for producing the same |
US20180308701A1 (en) | 2017-04-20 | 2018-10-25 | Lam Research Corporation | Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition |
US10121671B2 (en) | 2015-08-28 | 2018-11-06 | Applied Materials, Inc. | Methods of depositing metal films using metal oxyhalide precursors |
US20180323055A1 (en) | 2017-05-08 | 2018-11-08 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US20180323059A1 (en) | 2017-05-08 | 2018-11-08 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US20180323056A1 (en) | 2017-05-08 | 2018-11-08 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US20180325414A1 (en) | 2017-05-12 | 2018-11-15 | Tech4Imaging Llc | Electro-magneto volume tomography system and methodology for non-invasive volume tomography |
US20180350620A1 (en) | 2017-05-31 | 2018-12-06 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US20180350587A1 (en) | 2017-05-05 | 2018-12-06 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US20180350588A1 (en) | 2017-06-02 | 2018-12-06 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US20180350653A1 (en) | 2017-05-30 | 2018-12-06 | Asm Ip Holding B.V. | Substrate supporting device and substrate processing apparatus including the same |
US20180355480A1 (en) | 2017-06-12 | 2018-12-13 | Asm Ip Holding B.V. | Heater block having continuous concavity |
US20180363131A1 (en) | 2017-06-15 | 2018-12-20 | Samsung Electronics Co., Ltd. | Tungsten precursor and method of forming tungsten containing layer using the same |
US20180363139A1 (en) | 2017-06-20 | 2018-12-20 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US20180366314A1 (en) | 2013-03-14 | 2018-12-20 | Asm Ip Holding B.V. | Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES |
US20190003052A1 (en) | 2017-06-28 | 2019-01-03 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US20190003050A1 (en) | 2016-02-19 | 2019-01-03 | Merck Patent Gmbh | Deposition of Molybdenum Thin Films Using A Molybdenum Carbonyl Precursor |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US20190013199A1 (en) | 2017-07-05 | 2019-01-10 | Asm Ip Holding B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20190019670A1 (en) | 2017-07-13 | 2019-01-17 | Asm Ip Holding B.V. | Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
US20190027583A1 (en) | 2017-07-19 | 2019-01-24 | Asm Ip Holding B.V. | Method for depositing a group iv semiconductor and related semiconductor device structures |
US20190027605A1 (en) | 2017-07-19 | 2019-01-24 | Asm Ip Holding B.V. | Method for depositing a group iv semiconductor and related semiconductor device structures |
US20190027573A1 (en) | 2017-07-18 | 2019-01-24 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US20190027584A1 (en) | 2017-07-19 | 2019-01-24 | Asm Ip Holding B.V. | Method for selectively depositing a group iv semiconductor and related semiconductor device structures |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US20190035605A1 (en) | 2017-07-26 | 2019-01-31 | Asm Ip Holding B.V. | Method of depositing film by peald using negative bias |
US20190032998A1 (en) | 2017-07-26 | 2019-01-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US20190035810A1 (en) | 2016-11-07 | 2019-01-31 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US20190035647A1 (en) | 2017-07-31 | 2019-01-31 | Asm Ip Holding B.V. | Substrate processing device |
US20190032209A1 (en) | 2017-07-26 | 2019-01-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US20190040529A1 (en) | 2017-08-04 | 2019-02-07 | Asm Ip Holding B.V. | Showerhead assembly for distributing a gas within a reaction chamber and a method for controlling the temperature uniformity of a showerhead assembly |
USD840364S1 (en) * | 2017-01-31 | 2019-02-12 | Hitachi High-Technologies Corporation | Electrode cover for a plasma processing apparatus |
US20190051555A1 (en) | 2017-08-08 | 2019-02-14 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US20190051544A1 (en) | 2017-08-08 | 2019-02-14 | Asm Ip Holding B.V. | Radiation shield |
US20190051548A1 (en) | 2017-08-09 | 2019-02-14 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US20190057857A1 (en) | 2016-02-19 | 2019-02-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls of trenches |
US20190057858A1 (en) | 2017-08-18 | 2019-02-21 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
US20190066997A1 (en) | 2017-08-29 | 2019-02-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US20190062907A1 (en) | 2017-08-31 | 2019-02-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US20190066978A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US20190067016A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Layer forming method |
US20190067003A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures |
US20190067095A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Layer forming method |
US20190067004A1 (en) | 2017-08-22 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
US20190067094A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US20190067014A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US20190080903A1 (en) | 2017-09-13 | 2019-03-14 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US20190086807A1 (en) | 2017-09-21 | 2019-03-21 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US20190088555A1 (en) | 2017-09-18 | 2019-03-21 | Asm Ip Holding B.V. | Method for forming a semiconductor device structure and related semiconductor device structures |
US20190096708A1 (en) | 2017-09-28 | 2019-03-28 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US20190093221A1 (en) | 2017-09-22 | 2019-03-28 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US20190109002A1 (en) | 2017-10-10 | 2019-04-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US20190109009A1 (en) | 2017-10-05 | 2019-04-11 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US20190106788A1 (en) | 2014-03-18 | 2019-04-11 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US20190115206A1 (en) | 2017-04-25 | 2019-04-18 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US20190131124A1 (en) | 2017-10-30 | 2019-05-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US20190148398A1 (en) | 2017-11-16 | 2019-05-16 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US20190148224A1 (en) | 2017-11-16 | 2019-05-16 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US20190163056A1 (en) | 2017-11-24 | 2019-05-30 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US20190244803A1 (en) | 2018-02-06 | 2019-08-08 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
-
2017
- 2017-05-15 US US29/604,101 patent/USD876504S1/en active Active
- 2017-05-24 TW TW106302778F patent/TWD186431S/en unknown
Patent Citations (633)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2266416A (en) | 1939-01-14 | 1941-12-16 | Western Electric Co | Control apparatus |
US3263502A (en) | 1964-01-21 | 1966-08-02 | Redwood L Springfield | Multiple thermocouple support |
US3913058A (en) | 1972-07-25 | 1975-10-14 | Ngk Spark Plug Co | Thermosensor |
SU494614A1 (en) | 1974-05-05 | 1975-12-05 | Специальное Проектно-Конструкторское Бюро "Главнефтеснабсбыта" Усср | Remote level measurement device |
US4721533A (en) | 1986-08-01 | 1988-01-26 | System Planning Corporation | Protective structure for an immersion pyrometer |
US4749416A (en) | 1986-08-01 | 1988-06-07 | System Planning Corporation | Immersion pyrometer with protective structure for sidewall use |
SU1408319A1 (en) | 1987-01-06 | 1988-07-07 | Всесоюзный научно-исследовательский институт аналитического приборостроения | Chemoluminescent gas analyzer for nitrogen oxides |
US5069591A (en) | 1988-03-24 | 1991-12-03 | Tel Sagami Limited | Semiconductor wafer-processing apparatus |
US5158128A (en) | 1988-09-01 | 1992-10-27 | Sumitec, Inc. | Thermocouple for a continuous casting machine |
DE3836696C1 (en) | 1988-10-28 | 1989-12-07 | Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De | Lock for transporting material between clean rooms |
US5084126A (en) | 1988-12-29 | 1992-01-28 | Texas Instruments Incorporated | Method and apparatus for uniform flow distribution in plasma reactors |
US5053247A (en) | 1989-02-28 | 1991-10-01 | Moore Epitaxial, Inc. | Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby |
US5002632A (en) | 1989-11-22 | 1991-03-26 | Texas Instruments Incorporated | Method and apparatus for etching semiconductor materials |
JPH0429313A (en) | 1990-05-24 | 1992-01-31 | Fujitsu Ltd | Device for producing semiconductor crystal |
US5281274A (en) | 1990-06-22 | 1994-01-25 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors |
US5082517A (en) | 1990-08-23 | 1992-01-21 | Texas Instruments Incorporated | Plasma density controller for semiconductor device processing equipment |
US5273609A (en) | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
US5397395A (en) | 1990-10-29 | 1995-03-14 | Canon Kabushiki Kaisha | Method of continuously forming a large area functional deposited film by microwave PCVD and apparatus for the same |
US6095083A (en) | 1991-06-27 | 2000-08-01 | Applied Materiels, Inc. | Vacuum processing chamber having multi-mode access |
US6379466B1 (en) | 1992-01-17 | 2002-04-30 | Applied Materials, Inc. | Temperature controlled gas distribution plate |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5728425A (en) | 1992-03-18 | 1998-03-17 | Fujitsu Limited | Method for chemical vapor deposition of semiconductor films by separate feeding of source gases and growing of films |
US5320218A (en) | 1992-04-07 | 1994-06-14 | Shinko Electric Co., Ltd. | Closed container to be used in a clean room |
US5388945A (en) | 1992-08-04 | 1995-02-14 | International Business Machines Corporation | Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers |
USD363464S (en) | 1992-08-27 | 1995-10-24 | Tokyo Electron Yamanashi Limited | Electrode for a semiconductor processing apparatus |
US5338362A (en) | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
US5447294A (en) | 1993-01-21 | 1995-09-05 | Tokyo Electron Limited | Vertical type heat treatment system |
JPH06319177A (en) | 1993-02-24 | 1994-11-15 | Hewlett Packard Co <Hp> | Adaptive remote control system |
US5305417A (en) | 1993-03-26 | 1994-04-19 | Texas Instruments Incorporated | Apparatus and method for determining wafer temperature using pyrometry |
US5531218A (en) | 1993-04-17 | 1996-07-02 | Messer Griesheim Gmbh | Apparatus for the monitored metering of no into patients' respiratory air |
US5484484A (en) | 1993-07-03 | 1996-01-16 | Tokyo Electron Kabushiki | Thermal processing method and apparatus therefor |
US5348774A (en) | 1993-08-11 | 1994-09-20 | Alliedsignal Inc. | Method of rapidly densifying a porous structure |
US5482559A (en) | 1993-10-21 | 1996-01-09 | Tokyo Electron Kabushiki Kaisha | Heat treatment boat |
US5463176A (en) | 1994-01-03 | 1995-10-31 | Eckert; C. Edward | Liquid waste oxygenation |
JPH07225214A (en) | 1994-02-14 | 1995-08-22 | Shimadzu Corp | Nox measuring apparatus |
US5431734A (en) | 1994-04-28 | 1995-07-11 | International Business Machines Corporation | Aluminum oxide low pressure chemical vapor deposition (LPCVD) system-fourier transform infrared (FTIR) source chemical control |
US5730802A (en) | 1994-05-20 | 1998-03-24 | Sharp Kabushiki Kaisha | Vapor growth apparatus and vapor growth method capable of growing good productivity |
US5423942A (en) | 1994-06-20 | 1995-06-13 | Texas Instruments Incorporated | Method and apparatus for reducing etching erosion in a plasma containment tube |
US5939886A (en) | 1994-10-24 | 1999-08-17 | Advanced Energy Industries, Inc. | Plasma monitoring and control method and system |
US5586585A (en) | 1995-02-27 | 1996-12-24 | Asyst Technologies, Inc. | Direct loadlock interface |
US5685912A (en) | 1995-06-20 | 1997-11-11 | Sony Corporation | Pressure control system for semiconductor manufacturing equipment |
JPH0964149A (en) | 1995-08-29 | 1997-03-07 | Hitachi Electron Eng Co Ltd | Semiconductor production device |
US20040127069A1 (en) | 1995-09-08 | 2004-07-01 | Semiconductor Energy Laboratory Co., Ltd. A Japan Corporation | Method and apparatus for manufacturing a semiconductor device |
US6000732A (en) | 1995-09-22 | 1999-12-14 | Jenoptik Ag | Arrangement for locking and unlocking a door of a container |
US5992453A (en) | 1995-10-17 | 1999-11-30 | Zimmer; Johannes | Flow-dividing arrangement |
USD411516S (en) | 1996-03-15 | 1999-06-29 | Tokyo Electron Limited | Gas diffusion plate for electrode of semiconductor wafer processing apparatus |
US6190457B1 (en) | 1996-03-22 | 2001-02-20 | Nippon Sanso Corporation | CVD system and CVD process |
US5667592A (en) | 1996-04-16 | 1997-09-16 | Gasonics International | Process chamber sleeve with ring seals for isolating individual process modules in a common cluster |
US5863123A (en) | 1996-04-24 | 1999-01-26 | Samsung Electronics Co., Ltd. | Profile thermocouple of a transverse-type diffusion furnace |
USD386076S (en) | 1996-05-14 | 1997-11-11 | Camco Manufacturing, Inc. | Awning clamp |
US5801945A (en) | 1996-06-28 | 1998-09-01 | Lam Research Corporation | Scheduling method for robotic manufacturing processes |
US5915562A (en) | 1996-07-12 | 1999-06-29 | Fluoroware, Inc. | Transport module with latching door |
US6074154A (en) | 1996-08-29 | 2000-06-13 | Tokyo Electron Limited | Substrate treatment system, substrate transfer system, and substrate transfer method |
US20020073922A1 (en) | 1996-11-13 | 2002-06-20 | Jonathan Frankel | Chamber liner for high temperature processing chamber |
US5893741A (en) | 1997-02-07 | 1999-04-13 | National Science Council | Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's |
US20020090735A1 (en) | 1997-02-28 | 2002-07-11 | Extraction Systems, Inc. | Protection of semiconductor fabrication and similar sensitive processes |
US5866795A (en) | 1997-03-17 | 1999-02-02 | Applied Materials, Inc. | Liquid flow rate estimation and verification by direct liquid measurement |
US6287988B1 (en) | 1997-03-18 | 2001-09-11 | Kabushiki Kaisha Toshiba | Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device |
US6053983A (en) | 1997-05-08 | 2000-04-25 | Tokyo Electron, Ltd. | Wafer for carrying semiconductor wafers and method detecting wafers on carrier |
US5904170A (en) | 1997-05-14 | 1999-05-18 | Applied Materials, Inc. | Pressure flow and concentration control of oxygen/ozone gas mixtures |
US20010014267A1 (en) | 1997-05-21 | 2001-08-16 | Kenichi Yamaga | Wafer processing apparatus, method of operating the same and wafer detecting system |
US6390754B2 (en) | 1997-05-21 | 2002-05-21 | Tokyo Electron Limited | Wafer processing apparatus, method of operating the same and wafer detecting system |
US5827420A (en) | 1997-07-29 | 1998-10-27 | World Precision Instruments, Inc. | Method and apparatus for the generation of nitric oxide |
US20030049372A1 (en) | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
USD404372S (en) * | 1997-08-20 | 1999-01-19 | Tokyo Electron Limited | Ring for use in a semiconductor wafer heat processing apparatus |
USD404370S (en) * | 1997-08-20 | 1999-01-19 | Tokyo Electron Limited | Cap for use in a semiconductor wafer heat processing apparatus |
KR100253664B1 (en) | 1997-08-22 | 2000-04-15 | 이해광 | Operating system of polyimide dryer |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US20020043337A1 (en) * | 1997-11-03 | 2002-04-18 | Goodman Matthew G. | Low mass wafer support system |
US6035804A (en) | 1997-11-07 | 2000-03-14 | Tokyo Electron Limited | Process chamber apparatus |
US6143659A (en) | 1997-11-18 | 2000-11-07 | Samsung Electronics, Co., Ltd. | Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6106625A (en) | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6072163A (en) | 1998-03-05 | 2000-06-06 | Fsi International Inc. | Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate |
US6231290B1 (en) | 1998-03-23 | 2001-05-15 | Tokyo Electron | Processing method and processing unit for substrate |
US6503365B1 (en) | 1998-04-21 | 2003-01-07 | Samsung Electronics Co., Ltd. | Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing |
US6079927A (en) | 1998-04-22 | 2000-06-27 | Varian Semiconductor Equipment Associates, Inc. | Automated wafer buffer for use with wafer processing equipment |
US6120008A (en) | 1998-04-28 | 2000-09-19 | Life International Products, Inc. | Oxygenating apparatus, method for oxygenating a liquid therewith, and applications thereof |
US6481945B1 (en) | 1998-06-05 | 2002-11-19 | Asm International N.V. | Method and device for transferring wafers |
US20010031541A1 (en) | 1998-08-28 | 2001-10-18 | Arun Madan | Hot wire chemical vapor deposition method and apparatus using graphite hot rods |
US20020008270A1 (en) | 1998-09-03 | 2002-01-24 | Micron Technology, Inc. | Diffusion barrier layers and methods of forming same |
US6203969B1 (en) | 1998-09-14 | 2001-03-20 | Tokyo Electron Limited | Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein |
US6439822B1 (en) | 1998-09-22 | 2002-08-27 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
US20050059264A1 (en) | 1998-09-29 | 2005-03-17 | David Cheung | CVD plasma assisted low dielectric constant films |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
US6582174B1 (en) | 1999-01-26 | 2003-06-24 | Kokusai Electric Co., Ltd. | Substrate processing apparatus and substrate processing method |
US6190037B1 (en) | 1999-02-19 | 2001-02-20 | Applied Materials, Inc. | Non-intrusive, on-the-fly (OTF) temperature measurement and monitoring system |
US20070258855A1 (en) | 1999-04-30 | 2007-11-08 | Tso3, Inc. | Method and apparatus for ozone sterilization |
US6119710A (en) | 1999-05-26 | 2000-09-19 | Cyber Instrument Technologies Llc | Method for wide range gas flow system with real time flow measurement and correction |
US6812157B1 (en) | 1999-06-24 | 2004-11-02 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
JP2001015698A (en) | 1999-07-02 | 2001-01-19 | Matsushita Electronics Industry Corp | Semiconductor device and manufacture thereof |
US6238734B1 (en) | 1999-07-08 | 2001-05-29 | Air Products And Chemicals, Inc. | Liquid precursor mixtures for deposition of multicomponent metal containing materials |
US6867859B1 (en) | 1999-08-03 | 2005-03-15 | Lightwind Corporation | Inductively coupled plasma spectrometer for process diagnostics and control |
US20030008528A1 (en) | 1999-08-17 | 2003-01-09 | Applied Materials, Inc. | Surface treatment of c-doped SiO2 film to enhance film stability during 02 ashing |
US20030066482A1 (en) | 1999-08-17 | 2003-04-10 | Applied Materials, Inc. | Lid cooling mechanism and method for optimized deposition of low-K dielectric using TRI methylsilane-ozone based processes |
US20030077857A1 (en) | 1999-08-17 | 2003-04-24 | Applied Materials, Inc. | Post-deposition treatment to enhance properties of SI-O-C low films |
US20010000141A1 (en) | 1999-09-03 | 2001-04-05 | Derong Zhou | Processes and systems for purification of boron trichloride |
US7894474B1 (en) | 1999-09-10 | 2011-02-22 | Koninklijke Philips Electronics N.V. | Remote control of an electronic device through downloading of a control interface of the electronic device in a mobile station |
US6296710B1 (en) | 1999-10-06 | 2001-10-02 | Advanced Micro Devices, Inc. | Multi-port gas injector for a vertical furnace used in semiconductor processing |
US20030040120A1 (en) | 1999-10-12 | 2003-02-27 | Allen George A. | Systems and methods for measuring nitrate levels |
US7144809B2 (en) | 1999-10-15 | 2006-12-05 | Asm International N.V. | Production of elemental films using a boron-containing reducing agent |
US6815352B1 (en) * | 1999-11-09 | 2004-11-09 | Shin-Etsu Chemical Co., Ltd. | Silicon focus ring and method for producing the same |
US20020104751A1 (en) | 1999-11-18 | 2002-08-08 | Drewery John Stephen | Method and apparatus for ionized physical vapor deposition |
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
US6335049B1 (en) | 2000-01-03 | 2002-01-01 | Micron Technology, Inc. | Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor |
US6527884B1 (en) | 2000-01-20 | 2003-03-04 | Hitachi Kokusai Electric, Inc. | Hydrogen annealing process and apparatus therefor |
US20010041250A1 (en) | 2000-03-07 | 2001-11-15 | Werkhoven Christian J. | Graded thin films |
US6455098B2 (en) | 2000-03-09 | 2002-09-24 | Semix Incorporated | Wafer processing apparatus and method |
US6506009B1 (en) | 2000-03-16 | 2003-01-14 | Applied Materials, Inc. | Apparatus for storing and moving a cassette |
US20010039966A1 (en) | 2000-03-28 | 2001-11-15 | Jim Walpole | Automatic valve |
JP2001287180A (en) | 2000-04-04 | 2001-10-16 | Sony Corp | Robot remote-control system and software distribution method |
USRE43023E1 (en) | 2000-04-17 | 2011-12-13 | Hitachi Kokusai Electric Inc. | Dual loading port semiconductor processing equipment |
US6984591B1 (en) | 2000-04-20 | 2006-01-10 | International Business Machines Corporation | Precursor source mixtures |
US6502530B1 (en) | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
US20010039922A1 (en) | 2000-05-09 | 2001-11-15 | Takashi Nakahara | Processing chamber |
US20020100418A1 (en) | 2000-05-12 | 2002-08-01 | Gurtej Sandhu | Versatile atomic layer deposition apparatus |
US20010054388A1 (en) | 2000-05-22 | 2001-12-27 | Qian Shao Shou | Single-substrate-film-forming method and single-substrate-heat-processing apparatus |
US20050208219A1 (en) | 2000-05-26 | 2005-09-22 | Cem Basceri | Method of forming a capacitor |
US6884475B2 (en) | 2000-05-26 | 2005-04-26 | Micron Technology, Inc. | Chemical vapor deposition method for depositing a high k dielectric film |
US20050186688A1 (en) | 2000-05-26 | 2005-08-25 | Cem Basceri | Chemical vapor deposition methods and physical vapor deposition methods |
US6558517B2 (en) | 2000-05-26 | 2003-05-06 | Micron Technology, Inc. | Physical vapor deposition methods |
US7052584B2 (en) | 2000-05-26 | 2006-05-30 | Micron Technology, Inc. | Method of forming a capacitor |
US7217617B2 (en) | 2000-05-26 | 2007-05-15 | Micron Technology, Inc. | Methods of forming a capacitor |
US20020048634A1 (en) | 2000-05-26 | 2002-04-25 | Cem Basceri | Physical vapor deposition methods |
US20040228968A1 (en) | 2000-05-26 | 2004-11-18 | Micron Technology, Inc. | Chemical vapor deposition method for depositing a high k dielectric film |
US6346419B1 (en) | 2000-06-26 | 2002-02-12 | The United States Of America As Represented By The Department Of Commerce | Photolysis system for fast-response NO2 measurements and method therefor |
US6450117B1 (en) | 2000-08-07 | 2002-09-17 | Applied Materials, Inc. | Directing a flow of gas in a substrate processing chamber |
US6949204B1 (en) | 2000-08-08 | 2005-09-27 | Lam Research Corporation | Deformation reduction at the main chamber |
US20020027945A1 (en) | 2000-08-22 | 2002-03-07 | Ryoichi Hirano | Temperature measuring method in pattern drawing apparatus |
US20020025688A1 (en) | 2000-08-23 | 2002-02-28 | Kazuhiko Kato | Heat-processing apparatus and method of semiconductor process |
US20070062646A1 (en) | 2000-09-25 | 2007-03-22 | Hitachi Kokusai Electric Inc. | Method and apparatus for processing substrates |
US20020099470A1 (en) | 2000-09-27 | 2002-07-25 | Jan Zinger | Wafer handling system |
US6498091B1 (en) | 2000-11-01 | 2002-12-24 | Applied Materials, Inc. | Method of using a barrier sputter reactor to remove an underlying barrier layer |
JP2002237375A (en) | 2000-12-05 | 2002-08-23 | Ibiden Co Ltd | Ceramic plate for semiconductor manufacturing/testing device, and manufacturing method of the same |
US20040142577A1 (en) | 2001-01-22 | 2004-07-22 | Takuya Sugawara | Method for producing material of electronic device |
US20040048452A1 (en) | 2001-01-25 | 2004-03-11 | Takuya Sugawara | Method of producing electronic device material |
US20020160112A1 (en) | 2001-02-28 | 2002-10-31 | Japan Pionics Co., Ltd | Chemical vapor deposition apparatus and chemical vapor deposition method |
US20020123237A1 (en) | 2001-03-05 | 2002-09-05 | Tue Nguyen | Plasma pulse semiconductor processing system and method |
US6861642B2 (en) | 2001-03-26 | 2005-03-01 | Ebara Corporation | Neutral particle beam processing apparatus |
TW540093B (en) | 2001-04-05 | 2003-07-01 | Angstron Systems Inc | Atomic layer deposition system and method |
JP2003053688A (en) | 2001-05-15 | 2003-02-26 | Fanuc Robotics North America Inc | Robot system having teach pendant |
US20040202786A1 (en) | 2001-05-22 | 2004-10-14 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US20050109461A1 (en) | 2001-05-24 | 2005-05-26 | Sheng Sun | Chamber cleaning via rapid thermal process during a cleaning period |
US20030000647A1 (en) | 2001-06-29 | 2003-01-02 | Applied Materials, Inc. | Substrate processing chamber |
US20040241322A1 (en) | 2001-07-13 | 2004-12-02 | Micron Technology, Inc. | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer |
US20030017266A1 (en) | 2001-07-13 | 2003-01-23 | Cem Basceri | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer |
US7208198B2 (en) | 2001-07-13 | 2007-04-24 | Micron Technology, Inc. | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer |
US20030017265A1 (en) | 2001-07-13 | 2003-01-23 | Cem Basceri | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers |
US6982103B2 (en) | 2001-07-13 | 2006-01-03 | Micron Technology, Inc. | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer |
US20040185177A1 (en) | 2001-07-13 | 2004-09-23 | Cem Basceri | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer |
US6838122B2 (en) | 2001-07-13 | 2005-01-04 | Micron Technology, Inc. | Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20080210278A1 (en) | 2001-08-02 | 2008-09-04 | Takehiko Orii | Substrate processing apparatus |
US20030072882A1 (en) | 2001-08-03 | 2003-04-17 | Jaakko Niinisto | Method of depositing rare earth oxide thin films |
US20030040196A1 (en) | 2001-08-27 | 2003-02-27 | Lim Jung Wook | Method of forming insulation layer in semiconductor devices for controlling the composition and the doping concentration |
US20030041971A1 (en) | 2001-08-28 | 2003-03-06 | Nec Corporation | Substrate processing system for performing exposure process in gas atmosphere |
US20030045961A1 (en) | 2001-08-31 | 2003-03-06 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
US20030077883A1 (en) | 2001-09-07 | 2003-04-24 | Naoto Ohtake | Deposition method, deposition apparatus, and semiconductor device |
US7049226B2 (en) | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US7780789B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US20080102208A1 (en) | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20050107627A1 (en) | 2001-11-30 | 2005-05-19 | Christian Dussarrat | Hexakis(monohydrocarbylamino) disilanes and method for the preparation thereof |
US20050017272A1 (en) | 2001-11-30 | 2005-01-27 | Kenya Yamashita | Semiconductor device and production method therefor |
US20030170945A1 (en) | 2001-12-07 | 2003-09-11 | Tokyo Electron Limited | Radical processing of a sub-nanometer insulation film |
US20030111013A1 (en) | 2001-12-19 | 2003-06-19 | Oosterlaken Theodorus Gerardus Maria | Method for the deposition of silicon germanium layers |
US6841201B2 (en) | 2001-12-21 | 2005-01-11 | The Procter & Gamble Company | Apparatus and method for treating a workpiece using plasma generated from microwave radiation |
US20030124792A1 (en) | 2001-12-27 | 2003-07-03 | Samsung Electronics Co., Ltd. | Methods for fabricating semiconductor devices having capacitors |
US20030140851A1 (en) | 2002-01-25 | 2003-07-31 | Applied Materials, Inc. | Gas distribution showerhead |
US20050056218A1 (en) | 2002-02-14 | 2005-03-17 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US6876191B2 (en) | 2002-02-25 | 2005-04-05 | Asm International N.V. | Apparatus for treating wafers, provided with a sensor box |
US20040056017A1 (en) * | 2002-03-18 | 2004-03-25 | Renken Wayne Glenn | System and method for heating and cooling wafer at accelerated rates |
US20030176074A1 (en) | 2002-03-18 | 2003-09-18 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
US6883733B1 (en) | 2002-03-28 | 2005-04-26 | Novellus Systems, Inc. | Tapered post, showerhead design to improve mixing on dual plenum showerheads |
US20050161434A1 (en) | 2002-03-29 | 2005-07-28 | Tokyo Electron Limited | Method for forming insulation film |
US20030190804A1 (en) | 2002-04-09 | 2003-10-09 | Glenn W. Benjamin | Simultaneous cyclical deposition in different processing regions |
US20030213435A1 (en) | 2002-04-11 | 2003-11-20 | Kazuyuki Okuda | Vertical type semiconductor device producing apparatus |
US20040025787A1 (en) | 2002-04-19 | 2004-02-12 | Selbrede Steven C. | System for depositing a film onto a substrate using a low pressure gas precursor |
US20040043544A1 (en) | 2002-04-25 | 2004-03-04 | Hitachi Kokusai Electric Inc. | Manufacturing method of semiconductor device and substrate processing apparatus |
US20030213560A1 (en) | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
US6963052B2 (en) | 2002-06-05 | 2005-11-08 | Sumitomo Electric Industries, Ltd. | Heater module for semiconductor manufacturing equipment |
US20030232511A1 (en) | 2002-06-14 | 2003-12-18 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US20040089236A1 (en) | 2002-06-28 | 2004-05-13 | Toshiba Ceramics Co., Ltd. | Semiconductor wafer treatment member |
WO2004008491A2 (en) | 2002-07-15 | 2004-01-22 | Aviza Technology, Inc. | Thermal processing system and configurable vertical chamber |
US20040011504A1 (en) | 2002-07-17 | 2004-01-22 | Ku Vincent W. | Method and apparatus for gas temperature control in a semiconductor processing system |
US20040015300A1 (en) | 2002-07-22 | 2004-01-22 | Seshadri Ganguli | Method and apparatus for monitoring solid precursor delivery |
US20040035358A1 (en) | 2002-08-23 | 2004-02-26 | Cem Basceri | Reactors having gas distributors and methods for depositing materials onto micro-device workpieces |
US20060009044A1 (en) | 2002-09-19 | 2006-01-12 | Masanobu Igeta | Method for forming insulating film on substrate, method for manufacturing semiconductor device and substrate-processing apparatus |
US20040083975A1 (en) | 2002-09-20 | 2004-05-06 | Lam Research Corporation | Apparatus for reducing polymer deposition on a substrate and substrate support |
US20060048710A1 (en) | 2002-09-24 | 2006-03-09 | Tokyo Electron Limited | Substrate processing apparatus |
US20060057799A1 (en) | 2002-09-24 | 2006-03-16 | Tokyo Electron Limited | Substrate processing apparatus |
US6696367B1 (en) | 2002-09-27 | 2004-02-24 | Asm America, Inc. | System for the improved handling of wafers within a process tool |
US20040065255A1 (en) | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US20040069226A1 (en) | 2002-10-09 | 2004-04-15 | Tokyo Electron Limited | Substrate processing method and substrate processing system |
US20040092073A1 (en) | 2002-11-08 | 2004-05-13 | Cyril Cabral | Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures |
US7204886B2 (en) | 2002-11-14 | 2007-04-17 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
JP2004163293A (en) | 2002-11-14 | 2004-06-10 | Iwatani Internatl Corp | Method and apparatus for measuring ozone gas concentration |
US20060141155A1 (en) | 2002-11-15 | 2006-06-29 | Havard University | Atomic layer deposition using metal amidinates |
US20040105738A1 (en) | 2002-11-29 | 2004-06-03 | Ahn Yo-Han | Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module |
USD496008S1 (en) * | 2002-12-12 | 2004-09-14 | Tokyo Electron Limited | Exhaust ring for manufacturing semiconductors |
USD494552S1 (en) * | 2002-12-12 | 2004-08-17 | Tokyo Electron Limited | Exhaust ring for manufacturing semiconductors |
USD558021S1 (en) | 2003-01-30 | 2007-12-25 | Roger Lawrence | Metal fabrication clamp |
US20120258257A1 (en) | 2003-02-04 | 2012-10-11 | Asm International N.V. | Nanolayer deposition process |
US20040187784A1 (en) | 2003-03-28 | 2004-09-30 | Fluens Corporation | Continuous flow deposition system |
US20060151117A1 (en) | 2003-04-18 | 2006-07-13 | Hitachi Kokusai Electronic Inc. | Semiconductor producing device and semiconductor producing method |
US20040223893A1 (en) | 2003-05-09 | 2004-11-11 | Toshiba Mitsubishi-Electric Industrial Systems Corporation | Ozone generator |
US20050009325A1 (en) | 2003-06-18 | 2005-01-13 | Hua Chung | Atomic layer deposition of barrier materials |
US20070269983A1 (en) | 2003-06-27 | 2007-11-22 | Ofer Sneh | Ald Apparatus and Method |
US20100129548A1 (en) | 2003-06-27 | 2010-05-27 | Sundew Technologies, Llc | Ald apparatus and method |
US20050046825A1 (en) | 2003-07-25 | 2005-03-03 | Lightwind Corporation | Method and apparatus for chemical monitoring |
US20050059261A1 (en) | 2003-09-17 | 2005-03-17 | Cem Basceri | Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces |
US20050056780A1 (en) | 2003-09-17 | 2005-03-17 | Sionex Corporation | Solid-state gas flow generator and related systems, applications, and methods |
US20050208217A1 (en) | 2003-10-09 | 2005-09-22 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US20050095859A1 (en) | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20070032045A1 (en) | 2003-11-20 | 2007-02-08 | Hitachi Kokusai Electric Inc. | Method for manufacturing semiconductor device and substrate processing apparatus |
US20050115946A1 (en) | 2003-12-02 | 2005-06-02 | Shim Kyu H. | Radical assisted oxidation apparatus |
US20050148162A1 (en) | 2004-01-02 | 2005-07-07 | Huajie Chen | Method of preventing surface roughening during hydrogen pre-bake of SiGe substrates using chlorine containing gases |
US7467632B2 (en) | 2004-01-05 | 2008-12-23 | Hynix Semiconductor Inc. | Method for forming a photoresist pattern |
US20050229849A1 (en) | 2004-02-13 | 2005-10-20 | Applied Materials, Inc. | High productivity plasma processing chamber |
US7202512B2 (en) | 2004-02-17 | 2007-04-10 | Industrial Technology Research Institute | Construction of thin strain-relaxed SiGe layers and method for fabricating the same |
US20050183827A1 (en) | 2004-02-24 | 2005-08-25 | Applied Materials, Inc. | Showerhead mounting to accommodate thermal expansion |
US20080153308A1 (en) | 2004-02-27 | 2008-06-26 | Hitachi Kokusai Electric Inc. | Substrate Processing Apparatus |
US20050193948A1 (en) | 2004-03-08 | 2005-09-08 | Yuuzou Oohirabaru | Vacuum processing apparatus |
US20050253061A1 (en) | 2004-04-28 | 2005-11-17 | Sionex Corporation | Systems and methods for ion species analysis with enhanced condition control and data interpretation |
US20070231488A1 (en) | 2004-04-30 | 2007-10-04 | Hans Von Kaenel | Method for Producing Virtual Ge Substrates for III/V-Integration on Si(001) |
US20100159707A1 (en) | 2004-04-30 | 2010-06-24 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
US20070066038A1 (en) | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US20050252447A1 (en) | 2004-05-11 | 2005-11-17 | Applied Materials, Inc. | Gas blocker plate for improved deposition |
US20050271812A1 (en) | 2004-05-12 | 2005-12-08 | Myo Nyi O | Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials |
US20050252455A1 (en) | 2004-05-13 | 2005-11-17 | Tokyo Electron Limited | Substrate transfer mechanism and subtrate transfer apparatus including same, particle removal method for the subtrate transfer mechanism and apparatus, program for executing the method, and storage medium for storing the program |
WO2005112082A1 (en) | 2004-05-18 | 2005-11-24 | Ips Ltd. | Cyclic pulsed two-level plasma atomic layer deposition apparatus and method |
US20050284991A1 (en) | 2004-06-10 | 2005-12-29 | Humanscale Corporation | Mechanism for positional adjustment of an attached device |
JP2006049352A (en) | 2004-07-30 | 2006-02-16 | Sumitomo Osaka Cement Co Ltd | Suscepter apparatus |
US7504344B2 (en) | 2004-08-09 | 2009-03-17 | Asm Japan K.K. | Method of forming a carbon polymer film using plasma CVD |
US20080277647A1 (en) | 2004-09-16 | 2008-11-13 | Arizona Board Of Regents, A Body Corporate Acting | Materials and Optical Devices Based on Group IV Quantum Wells Grown on Si-Ge-Sn Buffered Silicon |
WO2006035281A1 (en) | 2004-09-28 | 2006-04-06 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Precursor for film formation and method for forming ruthenium-containing film |
US7712435B2 (en) | 2004-09-28 | 2010-05-11 | Asm Japan K.K. | Plasma processing apparatus with insulated gas inlet pore |
US7326656B2 (en) | 2004-09-30 | 2008-02-05 | Intel Corporation | Method of forming a metal oxide dielectric |
US20060257563A1 (en) | 2004-10-13 | 2006-11-16 | Seok-Joo Doh | Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique |
US20060108221A1 (en) | 2004-11-24 | 2006-05-25 | William Goodwin | Method and apparatus for improving measuring accuracy in gas monitoring systems |
US20060113038A1 (en) | 2004-11-29 | 2006-06-01 | Applied Materials, Inc. | Gas distribution system for improved transient phase deposition |
US20070095286A1 (en) | 2004-12-16 | 2007-05-03 | Yong-Ku Baek | Apparatus and method for thin film deposition |
US20060137608A1 (en) | 2004-12-28 | 2006-06-29 | Choi Seung W | Atomic layer deposition apparatus |
US20090104594A1 (en) | 2004-12-29 | 2009-04-23 | Biogen Idec | Bioreactor Process Control System and Method |
US9029244B2 (en) | 2005-01-19 | 2015-05-12 | Samsung Electronics Co., Ltd. | Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus |
US20060166428A1 (en) | 2005-01-24 | 2006-07-27 | Isao Kamioka | Semiconductor device and method of fabricating the same |
US20060240187A1 (en) | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
US20060165892A1 (en) | 2005-01-27 | 2006-07-27 | Applied Materials, Inc. | Ruthenium containing layer deposition method |
US20080128726A1 (en) | 2005-01-31 | 2008-06-05 | Ube Industries, Ltd., 1978 - 96 | Red Nitride Phosphor and Production Method Thereof |
US20070292974A1 (en) | 2005-02-17 | 2007-12-20 | Hitachi Kokusai Electric Inc | Substrate Processing Method and Substrate Processing Apparatus |
US20080124945A1 (en) | 2005-02-17 | 2008-05-29 | Hitachi Kokusa Electric Inc. | Production Method for Semiconductor Device and Substrate Processing Apparatus |
US7919142B2 (en) | 2005-03-22 | 2011-04-05 | Sungkyunkwan University Foundation For Corporate Collaboration | Atomic layer deposition apparatus using neutral beam and method of depositing atomic layer using the same |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US20060228898A1 (en) | 2005-03-30 | 2006-10-12 | Cory Wajda | Method and system for forming a high-k dielectric layer |
USD559994S1 (en) * | 2005-03-30 | 2008-01-15 | Tokyo Electron Limited | Cover ring |
USD559993S1 (en) * | 2005-03-30 | 2008-01-15 | Tokyo Electron Limited | Cover ring |
US20080274369A1 (en) | 2005-04-21 | 2008-11-06 | Lee Eal H | Novel Ruthenium-Based Materials and Ruthenium Alloys, Their Use in Vapor Deposition or Atomic Layer Deposition and Films Produced Therefrom |
US7544398B1 (en) | 2005-04-26 | 2009-06-09 | The Regents Of The Univesity Of California | Controlled nano-doping of ultra thin films |
US7109098B1 (en) | 2005-05-17 | 2006-09-19 | Applied Materials, Inc. | Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US20060275710A1 (en) | 2005-06-02 | 2006-12-07 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US20070020160A1 (en) | 2005-07-07 | 2007-01-25 | Mks Instruments, Inc. | Ozone system for multi-chamber tools |
USD571383S1 (en) * | 2005-07-29 | 2008-06-17 | Tokyo Electron Limited | Top panel for microwave introduction window of a plasma processing apparatus |
USD571831S1 (en) * | 2005-07-29 | 2008-06-24 | Tokyo Electron Limited | Top panel for microwave introduction window of a plasma processing apparatus |
USD593585S1 (en) * | 2005-07-29 | 2009-06-02 | Tokyo Electron Limited | Top panel for microwave introduction window of a plasma processing apparatus |
US20070026162A1 (en) | 2005-07-29 | 2007-02-01 | Hung-Wen Wei | Operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof |
USD557226S1 (en) * | 2005-08-25 | 2007-12-11 | Hitachi High-Technologies Corporation | Electrode cover for a plasma processing apparatus |
USD556704S1 (en) * | 2005-08-25 | 2007-12-04 | Hitachi High-Technologies Corporation | Grounded electrode for a plasma processing apparatus |
US20070190362A1 (en) | 2005-09-08 | 2007-08-16 | Weidman Timothy W | Patterned electroless metallization processes for large area electronics |
US7833348B2 (en) | 2005-09-21 | 2010-11-16 | Sumco Corporation | Temperature control method of epitaxial growth apparatus |
US20090137055A1 (en) | 2005-09-30 | 2009-05-28 | Bognar John A | Measuring nitrogen oxides and other gases by ozone formation |
US20100051584A1 (en) | 2005-10-12 | 2010-03-04 | Shogo Okita | Plasma processing apparatus and plasma processing method |
US20070087296A1 (en) | 2005-10-18 | 2007-04-19 | Samsung Electronics Co., Ltd. | Gas supply device and apparatus for processing a substrate |
US20070095283A1 (en) | 2005-10-31 | 2007-05-03 | Galewski Carl J | Pumping System for Atomic Layer Deposition |
US20070131168A1 (en) | 2005-10-31 | 2007-06-14 | Hisashi Gomi | Gas Supplying unit and substrate processing apparatus |
US20120149207A1 (en) | 2005-10-31 | 2012-06-14 | Graff Wesley P | Method for etching organic hardmasks |
US20080268171A1 (en) | 2005-11-04 | 2008-10-30 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US20070128858A1 (en) | 2005-12-05 | 2007-06-07 | Suvi Haukka | Method of producing thin films |
US20090250004A1 (en) | 2005-12-06 | 2009-10-08 | Ulvac, Inc. | Gas Head and Thin-Film Manufacturing Apparatus |
US20070134919A1 (en) | 2005-12-08 | 2007-06-14 | Tokyo Electron Limited | Film forming method and apparatus |
US20070148347A1 (en) | 2005-12-22 | 2007-06-28 | Timo Hatanpaa | Process for producing oxide films |
US20070184179A1 (en) | 2006-02-09 | 2007-08-09 | Akshay Waghray | Methods and apparatus to monitor a process of depositing a constituent of a multi-constituent gas during production of a composite brake disc |
US20070190782A1 (en) | 2006-02-15 | 2007-08-16 | Hyung-Sang Park | Method of depositing Ru films having high density |
US7456429B2 (en) | 2006-03-29 | 2008-11-25 | Eastman Kodak Company | Apparatus for atomic layer deposition |
US20110092077A1 (en) | 2006-03-31 | 2011-04-21 | Huiwen Xu | Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics |
US20090053900A1 (en) | 2006-04-07 | 2009-02-26 | Tokyo Electron Limited | Processing Apparatus and Processing Method |
US20070252233A1 (en) | 2006-04-28 | 2007-11-01 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for manufacturing the semiconductor device |
WO2007131051A1 (en) | 2006-05-05 | 2007-11-15 | Applied Materials, Inc. | Method for fabricating a gate dielectric of a field effect transistor |
US20070261868A1 (en) | 2006-05-12 | 2007-11-15 | Gross James R | Magnetic torque-limiting device and method |
US20080026162A1 (en) | 2006-07-29 | 2008-01-31 | Dickey Eric R | Radical-enhanced atomic layer deposition system and method |
US20110204025A1 (en) | 2006-08-15 | 2011-08-25 | Tokyo Electron Limited | Substrate processing method |
US20080044938A1 (en) | 2006-08-15 | 2008-02-21 | Varian Semiconductor Equipment Associates, Inc. | Technique for low-temperature ion implantation |
US20080063798A1 (en) | 2006-08-30 | 2008-03-13 | Kher Shreyas S | Precursors and hardware for cvd and ald |
US20080067146A1 (en) | 2006-09-15 | 2008-03-20 | Katsuhiko Onishi | Plasma processing apparatus, method for detecting abnormality of plasma processing apparatus and plasma processing method |
JP2008085129A (en) | 2006-09-28 | 2008-04-10 | Taiheiyo Cement Corp | Substrate mounting apparatus |
JP2008089320A (en) | 2006-09-29 | 2008-04-17 | Nicom Co Ltd | Flow rate measuring apparatus |
WO2008045972A2 (en) | 2006-10-10 | 2008-04-17 | Asm America, Inc. | Precursor delivery system |
US20080102205A1 (en) | 2006-10-27 | 2008-05-01 | Barry Sean T | ALD of metal-containing films using cyclopentadienyl compounds |
US20090223441A1 (en) | 2006-11-22 | 2009-09-10 | Chantal Arena | High volume delivery system for gallium trichloride |
US7758698B2 (en) | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
USD583395S1 (en) * | 2006-12-15 | 2008-12-23 | Tokyo Electron Limited | Cover for a heater stage of a plasma processing apparatus |
US20100025766A1 (en) | 2006-12-15 | 2010-02-04 | Nxp, B.V. | Transistor device and method of manufacturing such a transistor device |
US20080176335A1 (en) | 2006-12-29 | 2008-07-24 | Thermo Fisher Scientific Inc. | Apparatus and method for generating nitrogen oxides |
JP2008172083A (en) | 2007-01-12 | 2008-07-24 | Sharp Corp | Vapor growth device and vapor growth method |
US20110089166A1 (en) | 2007-01-15 | 2011-04-21 | Aaron Muir Hunter | Temperature measurement and control of wafer support in thermal processing chamber |
US20080176412A1 (en) | 2007-01-22 | 2008-07-24 | Elpida Memory, Inc. | Atomic layer deposition system including a plurality of exhaust tubes |
US20080182411A1 (en) | 2007-01-26 | 2008-07-31 | Asm America, Inc. | Plasma-enhanced ald of tantalum nitride films |
US20100111648A1 (en) | 2007-01-31 | 2010-05-06 | Tokyo Electron Limited | Substrate processing apparatus and particle adhesion preventing method |
US20080193643A1 (en) | 2007-02-12 | 2008-08-14 | Tokyo Electron Limited | Atomic layer deposition systems and methods |
US20080194105A1 (en) | 2007-02-14 | 2008-08-14 | Juan Dominguez | Organometallic precursors for seed/barrier processes and methods thereof |
US20080223130A1 (en) | 2007-03-13 | 2008-09-18 | Provina Incorporated | Method and device for measuring density of a liquid |
US20080241052A1 (en) | 2007-03-29 | 2008-10-02 | Hooper David M | Apparatus, method and system for delivering oxygen-ozone |
US20080272424A1 (en) | 2007-05-03 | 2008-11-06 | Hynix Semiconductor Inc. | Nonvolatile Memory Device Having Fast Erase Speed And Improved Retention Characteristics And Method For Fabricating The Same |
US20090047433A1 (en) | 2007-08-13 | 2009-02-19 | Chun-Sik Kim | Substrate processing apparatus and method |
US20090056112A1 (en) | 2007-09-03 | 2009-03-05 | Shinko Electric Industries Co., Ltd. | Electrostatic chuck member, method of manufacturing the same, and electrostatic chuck device |
US20100279008A1 (en) | 2007-09-12 | 2010-11-04 | Tokyo Electron Limited | Film deposition apparatus and film deposition method |
US20090087585A1 (en) | 2007-09-28 | 2009-04-02 | Wei Ti Lee | Deposition processes for titanium nitride barrier and aluminum |
US20090116936A1 (en) | 2007-10-22 | 2009-05-07 | Hitachi-Kokusai Electric Inc. | Substrate processing apparatus |
US20090117717A1 (en) | 2007-11-05 | 2009-05-07 | Asm America, Inc. | Methods of selectively depositing silicon-containing films |
US20090124131A1 (en) | 2007-11-09 | 2009-05-14 | Electronic Controls Design | Thermocouple adapter |
US20090159002A1 (en) | 2007-12-19 | 2009-06-25 | Kallol Bera | Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution |
US20090165722A1 (en) | 2007-12-26 | 2009-07-02 | Jusung Engineering Co., Ltd | Apparatus for treating substrate |
US20090166616A1 (en) | 2007-12-26 | 2009-07-02 | Hitachi, Ltd. | Oxide semiconductor device and surface treatment method of oxide semiconductor |
US20090165721A1 (en) * | 2007-12-27 | 2009-07-02 | Memc Electronic Materials, Inc. | Susceptor with Support Bosses |
US20090186571A1 (en) | 2008-01-22 | 2009-07-23 | Asm America, Inc. | Air ventilation system |
US20090197411A1 (en) | 2008-02-01 | 2009-08-06 | Christian Dussarrat | New metal precursors containing beta-diketiminato ligands |
US7799706B2 (en) | 2008-02-11 | 2010-09-21 | Sungkyunkwan University Foundation For Corporate Collaboration | Neutral beam-assisted atomic layer chemical vapor deposition apparatus and method of processing substrate using the same |
US20110042200A1 (en) | 2008-03-25 | 2011-02-24 | Anthony Wilby | Method of depositing amorphus aluminium oxynitride layer by reactive sputtering of an aluminium target in a nitrogen/oxygen atmosphere |
US8741062B2 (en) | 2008-04-22 | 2014-06-03 | Picosun Oy | Apparatus and methods for deposition reactors |
US20090267225A1 (en) | 2008-04-25 | 2009-10-29 | Shingo Eguchi | Semiconductor device and method for manufacturing the same |
US20130042811A1 (en) | 2008-05-02 | 2013-02-21 | Intermolecular, Inc. | Combinatorial Plasma Enhanced Deposition Techniques |
US20090275210A1 (en) | 2008-05-02 | 2009-11-05 | Sunil Shanker | Combinatorial plasma enhanced deposition techniques |
US20090280248A1 (en) | 2008-05-06 | 2009-11-12 | Asm America, Inc. | Porous substrate holder with thinned portions |
US20090324989A1 (en) | 2008-05-29 | 2009-12-31 | Gregoire Etienne Witz | Multilayer thermal barrier coating |
US20090298257A1 (en) | 2008-05-30 | 2009-12-03 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US8206506B2 (en) | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
USD614593S1 (en) * | 2008-07-21 | 2010-04-27 | Asm Genitech Korea Ltd | Substrate support for a semiconductor deposition apparatus |
USD609652S1 (en) * | 2008-07-22 | 2010-02-09 | Tokyo Electron Limited | Wafer attracting plate |
US20100034719A1 (en) | 2008-08-06 | 2010-02-11 | Christian Dussarrat | Novel lanthanide beta-diketonate precursors for lanthanide thin film deposition |
US20100055312A1 (en) | 2008-09-04 | 2010-03-04 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium |
US20100055898A1 (en) | 2008-09-04 | 2010-03-04 | Shuo-Che Chang | Method for fabricating an integrated circuit |
US20100055316A1 (en) | 2008-09-04 | 2010-03-04 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium |
US9267204B2 (en) | 2008-09-04 | 2016-02-23 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium |
US20110159200A1 (en) | 2008-09-08 | 2011-06-30 | Shibaura Mechatronics Coporation | Substrate holding member, substrate processing apparatus, and substrate processing method |
US20100075037A1 (en) | 2008-09-22 | 2010-03-25 | Marsh Eugene P | Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods |
US20100086703A1 (en) | 2008-10-03 | 2010-04-08 | Veeco Compound Semiconductor, Inc. | Vapor Phase Epitaxy System |
US20100119439A1 (en) | 2008-11-07 | 2010-05-13 | Tokyo Electron Limited | Ozone gas concentration measurement method, ozone gas concentration measurement system, and substrate processing apparatus |
JP2010123843A (en) | 2008-11-21 | 2010-06-03 | Kyocera Corp | Electrostatic chuck |
US20100140684A1 (en) | 2008-12-10 | 2010-06-10 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device and method for manufacturing the same |
US20110264250A1 (en) | 2008-12-15 | 2011-10-27 | Tokyo Electron Limited | Substrate processing system, substrate processing method and storage medium storing program |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
US20100166630A1 (en) | 2008-12-23 | 2010-07-01 | Mks Instruments, Inc. | Reactive chemical containment system |
KR20100079920A (en) | 2008-12-31 | 2010-07-08 | 엘아이지에이디피 주식회사 | Apparatus for joining of substrate |
US20100209598A1 (en) | 2009-02-13 | 2010-08-19 | Advanced Technology Materials, Inc. | IN SITU GENERATION OF RuO4 FOR ALD OF Ru AND Ru RELATED MATERIALS |
US8586484B2 (en) | 2009-03-04 | 2013-11-19 | Fuji Electric Co., Ltd. | Film forming method and film forming apparatus |
USD616394S1 (en) * | 2009-03-06 | 2010-05-25 | Tokyo Electron Limited | Support of wafer boat for manufacturing semiconductor wafers |
US9004744B1 (en) | 2009-03-30 | 2015-04-14 | Techni-Blend, Inc. | Fluid mixer using countercurrent injection |
US8402918B2 (en) | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US20110021033A1 (en) | 2009-07-22 | 2011-01-27 | Tokyo Electron Limited | Batch cvd method and apparatus for semiconductor process |
US8876974B2 (en) | 2009-07-28 | 2014-11-04 | Ligadp Co., Ltd. | Chemical vapor deposition apparatus capable of controlling discharging fluid flow path in reaction chamber |
US20110031562A1 (en) | 2009-08-07 | 2011-02-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Sealing layer of a field effect transistor |
US20110065289A1 (en) | 2009-09-14 | 2011-03-17 | Hitachi-Kokusai Electric Inc. | Method of manufacturing semiconductor device and substrate processing apparatus |
US8419959B2 (en) | 2009-09-18 | 2013-04-16 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US20110070740A1 (en) | 2009-09-18 | 2011-03-24 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8828886B2 (en) | 2009-10-05 | 2014-09-09 | Tohoku University | Low dielectric constant insulating film and method for forming the same |
US20110117492A1 (en) | 2009-11-13 | 2011-05-19 | Tokyo Electron Limited | Photoresist coating and developing apparatus, substrate transfer method and interface apparatus |
US20110143461A1 (en) | 2009-12-15 | 2011-06-16 | Varian Semiconductor Equipment Associates, Inc. | In vacuum optical wafer heater for cryogenic processing |
US8573154B2 (en) | 2010-01-13 | 2013-11-05 | Honda Motor Co., Ltd. | Plasma film forming apparatus |
US20130059078A1 (en) | 2010-02-23 | 2013-03-07 | Julien Gatineau | Use of ruthenium tetroxide as a precursor and reactant for thin film depositions |
USD625977S1 (en) | 2010-02-25 | 2010-10-26 | Vertex Stone and Chinaware Ltd. | Spacer tool |
JP2011181681A (en) | 2010-03-01 | 2011-09-15 | Mitsui Eng & Shipbuild Co Ltd | Atomic layer deposition method and atomic layer deposition device |
US20110217838A1 (en) | 2010-03-05 | 2011-09-08 | Asm Japan K.K. | Method for forming interconnect structure having airgap |
US20110223334A1 (en) | 2010-03-12 | 2011-09-15 | Applied Materials, Inc. | Atomic layer deposition chamber with multi inject |
US20130078376A1 (en) | 2010-04-01 | 2013-03-28 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors |
US20160379826A9 (en) | 2010-04-15 | 2016-12-29 | Lam Research Corporation | Capped ald films for doping fin-shaped channel regions of 3-d ic transistors |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US20120024223A1 (en) | 2010-07-02 | 2012-02-02 | Matheson Tri-Gas, Inc. | Thin films and methods of making them using cyclohexasilane |
US20130122722A1 (en) | 2010-07-07 | 2013-05-16 | Advanced Technology Materials, Inc. | DOPING OF ZrO2 FOR DRAM APPLICATIONS |
US20120028469A1 (en) | 2010-07-30 | 2012-02-02 | Asm Japan K.K. | METHOD OF TAILORING CONFORMALITY OF Si-CONTAINING FILM |
CN102373440A (en) | 2010-08-12 | 2012-03-14 | Snt能源技术有限公司 | Chemical vapor deposition device |
USD649986S1 (en) * | 2010-08-17 | 2011-12-06 | Ebara Corporation | Sealing ring |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
US7994070B1 (en) | 2010-09-30 | 2011-08-09 | Tokyo Electron Limited | Low-temperature dielectric film formation by chemical vapor deposition |
US8465903B2 (en) | 2010-10-06 | 2013-06-18 | Applied Materials, Inc. | Radiation patternable CVD film |
US20120088031A1 (en) | 2010-10-08 | 2012-04-12 | Adixen Vacuum Products | Gas discharge pipe and associated method |
US20130168353A1 (en) | 2010-10-08 | 2013-07-04 | Shogo Okita | Plasma processing method for substrates |
USD654882S1 (en) | 2010-10-21 | 2012-02-28 | Tokyo Electron Limited | Gas-separating plate for reactor for manufacturing semiconductor |
USD655261S1 (en) | 2010-10-21 | 2012-03-06 | Tokyo Electron Limited | Gas-separating plate for reactor for manufacturing semiconductor |
USD655260S1 (en) | 2010-10-21 | 2012-03-06 | Tokyo Electron Limited | Gas-separating plate for reactor for manufacturing semiconductor |
US20120108048A1 (en) | 2010-11-01 | 2012-05-03 | Samsung Electronics Co., Ltd. | Three-dimensional semiconductor devices and methods of fabricating the same |
US20120126300A1 (en) | 2010-11-23 | 2012-05-24 | Kiyeon Park | Capacitors, semiconductor devices including the same and methods of manufacturing the semiconductor devices |
US20130309876A1 (en) | 2010-11-29 | 2013-11-21 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus |
US20120164846A1 (en) | 2010-12-28 | 2012-06-28 | Asm Japan K.K. | Method of Forming Metal Oxide Hardmask |
US8980006B2 (en) | 2011-01-11 | 2015-03-17 | Dms Co., Ltd. | Apparatus for chemical vapor deposition |
US9127358B2 (en) | 2011-01-14 | 2015-09-08 | Tokyo Electron Limited | Film forming apparatus |
US20120180719A1 (en) | 2011-01-14 | 2012-07-19 | Tokyo Electron Limited | Film forming apparatus |
JP2012164736A (en) | 2011-02-04 | 2012-08-30 | Hitachi Kokusai Electric Inc | Substrate processing apparatus and semiconductor device manufacturing method |
US20120295449A1 (en) | 2011-02-18 | 2012-11-22 | Asm Japan K.K. | Method of Depositing Dielectric Film by ALD Using Precursor Containing Silicon, Hydrocarbon, and Halogen |
US20170018570A1 (en) | 2011-03-16 | 2017-01-19 | Macronix International Co., Ltd. | Capacitor With 3D NAND Memory |
US9684234B2 (en) | 2011-03-24 | 2017-06-20 | Uchicago Argonne, Llc | Sequential infiltration synthesis for enhancing multiple-patterning lithography |
US20150322569A1 (en) | 2011-04-07 | 2015-11-12 | Picosun Oy | Atomic Layer Deposition with Plasma Source |
US9868131B2 (en) | 2011-04-07 | 2018-01-16 | Picosun Oy | Atomic layer deposition with plasma source |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US20140141165A1 (en) | 2011-05-27 | 2014-05-22 | Hiroki Sato | Method for manufacturing molybdenum oxide-containing thin film, starting material for forming molybdenum oxide-containing thin film, and molybdenum amide compound |
US20120309181A1 (en) | 2011-06-01 | 2012-12-06 | Asm International N.V. | Process for depositing electrode with high effective work function |
US20120318773A1 (en) | 2011-06-15 | 2012-12-20 | Applied Materials, Inc. | Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control |
USD745641S1 (en) | 2011-06-20 | 2015-12-15 | Neoperl Gmbh | Stream straightener for faucet |
US20130005147A1 (en) | 2011-06-28 | 2013-01-03 | International Business Machines Corporation | Method of reducing critical dimension process bias differences between narrow and wide damascene wires |
US20130019944A1 (en) | 2011-07-21 | 2013-01-24 | International Business Machines Corporation | Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys |
US20130019945A1 (en) | 2011-07-21 | 2013-01-24 | International Business Machines Corporation | Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys |
US20140162401A1 (en) | 2011-08-19 | 2014-06-12 | Tokyo Electron Limited | Ge-Sb-Te FILM FORMING METHOD, Ge-Te FILM FORMING METHOD, AND Sb-Te FILM FORMING METHOD |
USD694790S1 (en) | 2011-09-20 | 2013-12-03 | Tokyo Electron Limited | Baffle plate for manufacturing semiconductor |
USD697038S1 (en) | 2011-09-20 | 2014-01-07 | Tokyo Electron Limited | Baffle plate |
US20140234992A1 (en) | 2011-09-27 | 2014-08-21 | Tokyo Electron Limited | Plasma etching method and semiconductor device manufacturing method |
USD709537S1 (en) * | 2011-09-30 | 2014-07-22 | Tokyo Electron Limited | Focusing ring |
USD709536S1 (en) * | 2011-09-30 | 2014-07-22 | Tokyo Electron Limited | Focusing ring |
US20130093048A1 (en) | 2011-10-17 | 2013-04-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposited Material and Method of Formation |
US20160196970A1 (en) | 2011-11-04 | 2016-07-07 | Asm International N.V. | Methods for forming doped silicon oxide thin films |
US10147600B2 (en) | 2011-11-04 | 2018-12-04 | Asm International N.V. | Methods for forming doped silicon oxide thin films |
US9875893B2 (en) | 2011-11-04 | 2018-01-23 | Asm International N.V. | Methods for forming doped silicon oxide thin films |
US20170338111A1 (en) | 2011-11-04 | 2017-11-23 | Asm International N.V. | Methods for forming doped silicon oxide thin films |
US20150147875A1 (en) | 2011-11-04 | 2015-05-28 | ASM International.N.V. | Methods for forming doped silicon oxide thin films |
US20180211834A1 (en) | 2011-11-04 | 2018-07-26 | Asm International N.V. | Methods for forming doped silicon oxide thin films |
US20130196502A1 (en) | 2011-12-09 | 2013-08-01 | ASM International. N.V. | Selective formation of metallic films on metallic surfaces |
US20160085003A1 (en) | 2012-01-19 | 2016-03-24 | Supriya Jaiswal | Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications |
US20130203267A1 (en) | 2012-02-06 | 2013-08-08 | Asm Ip Holding B.V. | Multiple vapor sources for vapor deposition |
US20130228225A1 (en) | 2012-03-01 | 2013-09-05 | Novellus Systems, Inc. | Sequential cascading of reaction volumes as a chemical reuse strategy |
US20130234203A1 (en) | 2012-03-08 | 2013-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Devices and Methods of Manufacture Thereof |
US20150031218A1 (en) | 2012-03-15 | 2015-01-29 | Tokyo Electron Limited | Film forming process and film forming apparatus |
US20150091134A1 (en) | 2012-04-05 | 2015-04-02 | Dyson Technology Limited | Atomic layer deposition |
US20130280891A1 (en) | 2012-04-20 | 2013-10-24 | Yihwan Kim | Method and apparatus for germanium tin alloy formation by thermal cvd |
US20130302520A1 (en) | 2012-05-11 | 2013-11-14 | Kai-An Wang | Co-evaporation system comprising vapor pre-mixer |
US20130299944A1 (en) | 2012-05-14 | 2013-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and Apparatus for Bipolar Junction Transistors and Resistors |
US20130312663A1 (en) | 2012-05-22 | 2013-11-28 | Applied Microstructures, Inc. | Vapor Delivery Apparatus |
US20130323859A1 (en) | 2012-06-01 | 2013-12-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method of monitoring and controlling atomic layer deposition of tungsten |
US20150167165A1 (en) | 2012-06-15 | 2015-06-18 | Picosun Oy | Coating a substrate web by atomic layer deposition |
US20140017908A1 (en) | 2012-07-11 | 2014-01-16 | Asm Ip Holding B.V. | Method for Forming Conformal, Homogeneous Dielectric Film by Cyclic Deposition and Heat Treatment |
US20150167162A1 (en) | 2012-07-13 | 2015-06-18 | Gallium Enterprises Pty Ltd | Apparatus and method for film formation |
US20140017414A1 (en) | 2012-07-16 | 2014-01-16 | Asm Ip Holding B.V. | Method for Forming Aluminum Oxide Film Using Al Compound Containing Alkyl Group and Alkoxy or Alkylamine Group |
US20140034632A1 (en) | 2012-08-01 | 2014-02-06 | Heng Pan | Apparatus and method for selective oxidation at lower temperature using remote plasma source |
US8859368B2 (en) | 2012-09-04 | 2014-10-14 | Globalfoundries Inc. | Semiconductor device incorporating a multi-function layer into gate stacks |
US20140120678A1 (en) | 2012-10-29 | 2014-05-01 | Matheson Tri-Gas | Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures |
US9076726B2 (en) | 2012-12-31 | 2015-07-07 | Imec | Method for tuning the effective work function of a gate structure in a semiconductor device |
US20150345018A1 (en) | 2013-01-16 | 2015-12-03 | Universiteit Gent | Methods for Obtaining Hydrophilic Fluoropolymers |
US20140209976A1 (en) | 2013-01-25 | 2014-07-31 | Samsung Electronics Co., Ltd. | Transistors and methods of manufacturing the same |
US9399228B2 (en) | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
US20140227444A1 (en) | 2013-02-13 | 2014-08-14 | Wayne State University | Synthesis And Characterization Of First Row Transition Metal Complexes Containing a-Imino Alkoxides As Precursors For Deposition Of Metal Films |
US20140231922A1 (en) | 2013-02-19 | 2014-08-21 | GlobalFoundries, Inc. | Semiconductor gate structure for threshold voltage modulation and method of making same |
US20160002776A1 (en) * | 2013-02-21 | 2016-01-07 | Altatech Semiconductor | Chemical vapor deposition device |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US20140262193A1 (en) * | 2013-03-13 | 2014-09-18 | Techest Co., Ltd. | Edge ring cooling module for semi-conductor manufacture chuck |
US9309978B2 (en) | 2013-03-14 | 2016-04-12 | Dresser-Rand Company | Low head to stem ratio poppet valve |
US20180366314A1 (en) | 2013-03-14 | 2018-12-20 | Asm Ip Holding B.V. | Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES |
US20160002786A1 (en) | 2013-03-15 | 2016-01-07 | L'Air Liquide, Société Anonyme pour l'Etude et I'Exploitation des Procédés Georges Claude | Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films |
US20160024655A1 (en) | 2013-03-15 | 2016-01-28 | Joseph Yudovsky | Atmospheric Lid With Rigid Plate For Carousel Processing Chambers |
US20140322862A1 (en) | 2013-04-29 | 2014-10-30 | Asm Ip Holding B.V. | Method of making a resistive random access memory device with metal-doped resistive switching layer |
USD793976S1 (en) * | 2013-05-15 | 2017-08-08 | Ebara Corporation | Substrate retaining ring |
US8900999B1 (en) | 2013-08-16 | 2014-12-02 | Applied Materials, Inc. | Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application |
US20150056821A1 (en) | 2013-08-22 | 2015-02-26 | Asm Ip Holding B.V. | Method for Forming SiOCH Film Using Organoaminosilane Annealing |
USD724553S1 (en) * | 2013-09-13 | 2015-03-17 | Asm Ip Holding B.V. | Substrate supporter for semiconductor deposition apparatus |
USD716742S1 (en) * | 2013-09-13 | 2014-11-04 | Asm Ip Holding B.V. | Substrate supporter for semiconductor deposition apparatus |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US20150287591A1 (en) | 2013-10-16 | 2015-10-08 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US20160273106A1 (en) | 2013-10-28 | 2016-09-22 | Safc Hitech Inc. | Metal complexes containing amidoimine ligands |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20160281223A1 (en) | 2013-11-26 | 2016-09-29 | Ultratech, Inc. | Plasma enhanced ald system |
US20150170975A1 (en) | 2013-12-17 | 2015-06-18 | Texas Instruments Incorporated | Elongated contacts using litho-freeze-litho-etch process |
US20150167705A1 (en) | 2013-12-17 | 2015-06-18 | Samsung Electronics Co., Ltd. | Substrate treating apparatus and blocker plate assembly |
US20150179640A1 (en) | 2013-12-19 | 2015-06-25 | Globalfoundries Inc. | Common fabrication of different semiconductor devices with different threshold voltages |
US9583345B2 (en) | 2013-12-26 | 2017-02-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning |
US20150203961A1 (en) | 2014-01-21 | 2015-07-23 | Applied Materials, Inc. | Methods for forming a cobalt-ruthenium liner layer for interconnect structures |
US20170145564A1 (en) | 2014-01-23 | 2017-05-25 | Ultratech, Inc. | Vapor delivery system |
WO2015112728A1 (en) | 2014-01-23 | 2015-07-30 | Ultratech, Inc. | Vapor delivery system |
USD733257S1 (en) | 2014-02-14 | 2015-06-30 | Hansgrohe Se | Overhead shower |
US20150240357A1 (en) | 2014-02-25 | 2015-08-27 | Tokyo Electron Limited | Substrate processing apparatus using rotatable table |
US9362180B2 (en) | 2014-02-25 | 2016-06-07 | Globalfoundries Inc. | Integrated circuit having multiple threshold voltages |
US9576952B2 (en) | 2014-02-25 | 2017-02-21 | Globalfoundries Inc. | Integrated circuits with varying gate structures and fabrication methods |
US20150262828A1 (en) | 2014-03-14 | 2015-09-17 | Applied Materials, Inc. | MULTI-THRESHOLD VOLTAGE (Vt) WORKFUNCTION METAL BY SELECTIVE ATOMIC LAYER DEPOSITION (ALD) |
US20190106788A1 (en) | 2014-03-18 | 2019-04-11 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US20150287612A1 (en) | 2014-04-07 | 2015-10-08 | Applied Materials, Inc. | Spacer formation |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US9184054B1 (en) | 2014-04-25 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US20150311151A1 (en) | 2014-04-28 | 2015-10-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect Structure Having Air Gap and Method of Forming the Same |
USD733262S1 (en) | 2014-05-22 | 2015-06-30 | Young Boung Kang | Disposer of connection member for kitchen sink bowl |
US20170200622A1 (en) | 2014-05-30 | 2017-07-13 | Ebara Corporation | Vacuum evacuation system |
US9287273B2 (en) | 2014-06-06 | 2016-03-15 | Imec Vzw | Method for manufacturing a semiconductor device comprising transistors each having a different effective work function |
US20150372056A1 (en) | 2014-06-18 | 2015-12-24 | Dong-Jun Seong | Semiconductor diodes, and variable resistance memory devices |
USD736348S1 (en) | 2014-07-07 | 2015-08-11 | Jiangmen Triumph Rain Showers Co., LTD | Spray head for a shower |
US9337057B2 (en) | 2014-07-21 | 2016-05-10 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
US20160042954A1 (en) | 2014-08-06 | 2016-02-11 | Globalfoundries Inc. | Replacement metal gate and fabrication process with reduced lithography steps |
USD751176S1 (en) | 2014-08-07 | 2016-03-08 | Hansgrohe Se | Overhead shower |
US9362137B2 (en) | 2014-08-18 | 2016-06-07 | Samsung Electronics Co., Ltd. | Plasma treating apparatus, substrate treating method, and method of manufacturing a semiconductor device |
US9252238B1 (en) | 2014-08-18 | 2016-02-02 | Lam Research Corporation | Semiconductor structures with coplanar recessed gate layers and fabrication methods |
US20180127876A1 (en) | 2014-08-21 | 2018-05-10 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US20160071750A1 (en) | 2014-09-09 | 2016-03-10 | Asm Ip Holding B.V. | Assembly of liner and flange for vertical furnace as well as a vertical process furnace |
JP2016098406A (en) | 2014-11-21 | 2016-05-30 | 東京エレクトロン株式会社 | Film deposition method of molybdenum film |
US20160148806A1 (en) | 2014-11-24 | 2016-05-26 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US20160148800A1 (en) | 2014-11-24 | 2016-05-26 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US20160163558A1 (en) | 2014-12-04 | 2016-06-09 | Lam Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9997373B2 (en) | 2014-12-04 | 2018-06-12 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9951421B2 (en) | 2014-12-10 | 2018-04-24 | Lam Research Corporation | Inlet for effective mixing and purging |
US20160172189A1 (en) | 2014-12-15 | 2016-06-16 | Tokyo Electron Limited | Method of selective gas phase film deposition on a substrate |
US9820289B1 (en) | 2014-12-18 | 2017-11-14 | Sprint Spectrum L.P. | Method and system for managing quantity of carriers in air interface connection based on type of content |
US20160222504A1 (en) | 2015-02-03 | 2016-08-04 | Asm Ip Holding B.V. | Selective deposition |
US20190157054A1 (en) | 2015-03-12 | 2019-05-23 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US20160276212A1 (en) | 2015-03-20 | 2016-09-22 | Renesas Electronics Corporation | Method For Producing Semiconductor Device |
US20160365414A1 (en) | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFET Structures and Methods of Forming the Same |
US20160372321A1 (en) * | 2015-06-22 | 2016-12-22 | Veeco Instruments, Inc. | Self-Centering Wafer Carrier System For Chemical Vapor Deposition |
US20170009367A1 (en) * | 2015-07-09 | 2017-01-12 | Applied Materials, Inc. | Wafer electroplating chuck assembly |
US20180180509A1 (en) | 2015-07-29 | 2018-06-28 | Tokyo Electron Limited | Method for inspecting for leaks in gas supply system valves |
US20170051406A1 (en) | 2015-08-17 | 2017-02-23 | Asm Ip Holding B.V. | Susceptor and substrate processing apparatus |
USD795208S1 (en) * | 2015-08-18 | 2017-08-22 | Tokyo Electron Limited | Electrostatic chuck for semiconductor manufacturing equipment |
US20170051405A1 (en) | 2015-08-18 | 2017-02-23 | Asm Ip Holding B.V. | Method for forming sin or sicn film in trenches by peald |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US10121671B2 (en) | 2015-08-28 | 2018-11-06 | Applied Materials, Inc. | Methods of depositing metal films using metal oxyhalide precursors |
US9865815B2 (en) | 2015-09-24 | 2018-01-09 | Lam Research Coporation | Bromine containing silicon precursors for encapsulation layers |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US20170104061A1 (en) | 2015-10-07 | 2017-04-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained nanowire cmos device and method of forming |
US20170110601A1 (en) | 2015-10-16 | 2017-04-20 | Asm Ip Holding B.V. | Photoactive devices and materials |
US20190140067A1 (en) | 2015-10-21 | 2019-05-09 | Asm Ip Holding B.V. | NbMC LAYERS |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US20170140925A1 (en) | 2015-11-12 | 2017-05-18 | Asm Ip Holding B.V. | FORMATION OF SiOCN THIN FILMS |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US20170148918A1 (en) | 2015-11-25 | 2017-05-25 | Applied Materials, Inc. | Materials for tensile stress and low contact resistance and method of forming |
US20170226636A1 (en) | 2016-02-08 | 2017-08-10 | Illinois Tool Works Inc | Method and system for the localized deposit of metal on a surface |
US9570302B1 (en) | 2016-02-10 | 2017-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of patterning a material layer |
US20190057857A1 (en) | 2016-02-19 | 2019-02-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls of trenches |
US20190003050A1 (en) | 2016-02-19 | 2019-01-03 | Merck Patent Gmbh | Deposition of Molybdenum Thin Films Using A Molybdenum Carbonyl Precursor |
US9748145B1 (en) | 2016-02-29 | 2017-08-29 | Globalfoundries Inc. | Semiconductor devices with varying threshold voltage and fabrication methods thereof |
US10018920B2 (en) | 2016-03-04 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with a gas phase resist |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US20170278705A1 (en) | 2016-03-23 | 2017-09-28 | Tokyo Electron Limited | Nitride film forming method and storage medium |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US20180068862A1 (en) | 2016-03-28 | 2018-03-08 | Hitachi High-Technologies Corporation | Plasma processing method and plasma processing apparatus |
JP2017183242A (en) | 2016-03-31 | 2017-10-05 | 株式会社ノリタケカンパニーリミテド | PdRu ALLOY ELECTRODE MATERIAL AND PRODUCTION METHOD THEREOF |
USD810705S1 (en) * | 2016-04-01 | 2018-02-20 | Veeco Instruments Inc. | Self-centering wafer carrier for chemical vapor deposition |
USD819580S1 (en) * | 2016-04-01 | 2018-06-05 | Veeco Instruments, Inc. | Self-centering wafer carrier for chemical vapor deposition |
US20190153593A1 (en) | 2016-04-21 | 2019-05-23 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US20170338133A1 (en) | 2016-05-19 | 2017-11-23 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US20170338134A1 (en) | 2016-05-19 | 2017-11-23 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US20170358482A1 (en) | 2016-06-08 | 2017-12-14 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US20170373188A1 (en) | 2016-06-28 | 2017-12-28 | International Business Machines Corporation | Fabrication of a vertical fin field effect transistor with an asymmetric gate structure |
US20180005814A1 (en) | 2016-07-01 | 2018-01-04 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US20180019165A1 (en) | 2016-07-14 | 2018-01-18 | Entegris, Inc. | CVD Mo DEPOSITION BY USING MoOCl4 |
WO2018013778A1 (en) | 2016-07-14 | 2018-01-18 | Entegris, Inc. | Cvd mo deposition by using mooc14 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US20180053660A1 (en) | 2016-08-16 | 2018-02-22 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
US20180090583A1 (en) | 2016-09-28 | 2018-03-29 | Samsung Electronics Co., Ltd. | Semiconductor Devices Having Reduced Contact Resistance |
US20180094351A1 (en) | 2016-09-30 | 2018-04-05 | Asm Ip Holding B.V. | Reactant vaporizer and related systems and methods |
US20170044664A1 (en) | 2016-10-28 | 2017-02-16 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films |
US20190164763A1 (en) | 2016-11-01 | 2019-05-30 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US20190081072A1 (en) | 2016-11-07 | 2019-03-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US20190035810A1 (en) | 2016-11-07 | 2019-01-31 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US20180151588A1 (en) | 2016-11-28 | 2018-05-31 | Sandisk Technologies Llc | Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
US20180158716A1 (en) | 2016-12-01 | 2018-06-07 | Lam Research Corporation | Pad raising mechanism in wafer positioning pedestal for semiconductor processing |
US20180163305A1 (en) | 2016-12-14 | 2018-06-14 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US20180174801A1 (en) | 2016-12-21 | 2018-06-21 | Ulvac Technologies, Inc. | Apparatuses and methods for surface treatment |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US20180189923A1 (en) | 2017-01-03 | 2018-07-05 | Qualcomm Incorporated | Draw call visibility stream |
USD840364S1 (en) * | 2017-01-31 | 2019-02-12 | Hitachi High-Technologies Corporation | Electrode cover for a plasma processing apparatus |
USD827592S1 (en) * | 2017-01-31 | 2018-09-04 | Hitachi High-Technologies Corporation | Electrode cover for a plasma processing apparatus |
US20180258532A1 (en) | 2017-03-08 | 2018-09-13 | Kabushiki Kaisha Toshiba | Shower plate, processing apparatus, and ejection method |
US20180269057A1 (en) | 2017-03-15 | 2018-09-20 | Versum Materials Us, Llc | Formulation for Deposition of Silicon Doped Hafnium Oxide as Ferroelectric Materials |
US20180286638A1 (en) | 2017-03-28 | 2018-10-04 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrate |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US20180286672A1 (en) | 2017-03-31 | 2018-10-04 | Asm Ip Holding B.V. | Semiconductor device with amorphous silicon filled gaps and methods for forming |
US20180294187A1 (en) | 2017-04-10 | 2018-10-11 | Lam Research Corporation | Low resistivity films containing molybdenum |
US20180308701A1 (en) | 2017-04-20 | 2018-10-25 | Lam Research Corporation | Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition |
US20190115206A1 (en) | 2017-04-25 | 2019-04-18 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US20180350587A1 (en) | 2017-05-05 | 2018-12-06 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US20180323056A1 (en) | 2017-05-08 | 2018-11-08 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US20180323059A1 (en) | 2017-05-08 | 2018-11-08 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US20180323055A1 (en) | 2017-05-08 | 2018-11-08 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US20180325414A1 (en) | 2017-05-12 | 2018-11-15 | Tech4Imaging Llc | Electro-magneto volume tomography system and methodology for non-invasive volume tomography |
US20180350653A1 (en) | 2017-05-30 | 2018-12-06 | Asm Ip Holding B.V. | Substrate supporting device and substrate processing apparatus including the same |
US20180350620A1 (en) | 2017-05-31 | 2018-12-06 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US20180350588A1 (en) | 2017-06-02 | 2018-12-06 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US20180355480A1 (en) | 2017-06-12 | 2018-12-13 | Asm Ip Holding B.V. | Heater block having continuous concavity |
US20180363131A1 (en) | 2017-06-15 | 2018-12-20 | Samsung Electronics Co., Ltd. | Tungsten precursor and method of forming tungsten containing layer using the same |
US20180363139A1 (en) | 2017-06-20 | 2018-12-20 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US20190003052A1 (en) | 2017-06-28 | 2019-01-03 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US20190013199A1 (en) | 2017-07-05 | 2019-01-10 | Asm Ip Holding B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US20190019670A1 (en) | 2017-07-13 | 2019-01-17 | Asm Ip Holding B.V. | Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber |
US20190027573A1 (en) | 2017-07-18 | 2019-01-24 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US20190027583A1 (en) | 2017-07-19 | 2019-01-24 | Asm Ip Holding B.V. | Method for depositing a group iv semiconductor and related semiconductor device structures |
US20190027605A1 (en) | 2017-07-19 | 2019-01-24 | Asm Ip Holding B.V. | Method for depositing a group iv semiconductor and related semiconductor device structures |
US20190027584A1 (en) | 2017-07-19 | 2019-01-24 | Asm Ip Holding B.V. | Method for selectively depositing a group iv semiconductor and related semiconductor device structures |
US20190035605A1 (en) | 2017-07-26 | 2019-01-31 | Asm Ip Holding B.V. | Method of depositing film by peald using negative bias |
US20190032998A1 (en) | 2017-07-26 | 2019-01-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US20190032209A1 (en) | 2017-07-26 | 2019-01-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US20190035647A1 (en) | 2017-07-31 | 2019-01-31 | Asm Ip Holding B.V. | Substrate processing device |
US20190040529A1 (en) | 2017-08-04 | 2019-02-07 | Asm Ip Holding B.V. | Showerhead assembly for distributing a gas within a reaction chamber and a method for controlling the temperature uniformity of a showerhead assembly |
US20190051544A1 (en) | 2017-08-08 | 2019-02-14 | Asm Ip Holding B.V. | Radiation shield |
US20190051555A1 (en) | 2017-08-08 | 2019-02-14 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US20190051548A1 (en) | 2017-08-09 | 2019-02-14 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US20190115237A1 (en) | 2017-08-09 | 2019-04-18 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US20190057858A1 (en) | 2017-08-18 | 2019-02-21 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
US20190067004A1 (en) | 2017-08-22 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
US20190066997A1 (en) | 2017-08-29 | 2019-02-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US20190067095A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Layer forming method |
US20190067016A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Layer forming method |
US20190066978A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US20190067003A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures |
US20190067014A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor device structures |
US20190067094A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US20190062907A1 (en) | 2017-08-31 | 2019-02-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10106892B1 (en) | 2017-08-31 | 2018-10-23 | Globalfoundries Inc. | Thermal oxide equivalent low temperature ALD oxide for dual purpose gate oxide and method for producing the same |
US20190080903A1 (en) | 2017-09-13 | 2019-03-14 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US20190088555A1 (en) | 2017-09-18 | 2019-03-21 | Asm Ip Holding B.V. | Method for forming a semiconductor device structure and related semiconductor device structures |
US20190086807A1 (en) | 2017-09-21 | 2019-03-21 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US20190093221A1 (en) | 2017-09-22 | 2019-03-28 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US20190096708A1 (en) | 2017-09-28 | 2019-03-28 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US20190109009A1 (en) | 2017-10-05 | 2019-04-11 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US20190109002A1 (en) | 2017-10-10 | 2019-04-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US20190131124A1 (en) | 2017-10-30 | 2019-05-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US20190148398A1 (en) | 2017-11-16 | 2019-05-16 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US20190148224A1 (en) | 2017-11-16 | 2019-05-16 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US20190163056A1 (en) | 2017-11-24 | 2019-05-30 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US20190244803A1 (en) | 2018-02-06 | 2019-08-08 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
Non-Patent Citations (242)
Title |
---|
Alen, "Atomic layer deposition of TaN, NbN and MoN films for Cu Metallizations," University of Helsinki Finland, 72 pages, (2005). |
Arita et al. "Electrical and optical properties of germanium-doped zinc oxide thin films" Materials Transactions, vol. 45, No. 11, pp. 3180-3183 (2004). |
Arnold et al., "Novel single-layer vanadium sulphide phases" 2D Materials, 5, 045009, 11 pages (2018). |
Boscher et al., "Atmosphere Pressure Chemical Vapour Deposition of NbSe2 Thin Films on Glass" Eur. J. Inorg. Chem., pp. 1255-1259 (2006). |
Carmalt et al., "Chemical Vapor Deposition of Niobium Disulfide Thin Films" Eur. J. Inorg. Chem., pp. 4470-4476 (2004). |
Casey et al. "Chemical Vapor Deposition of Mo onto Si" J. Electrochem. Soc.: Solid State Science, 114 (2), pp. 201-204 (1967). |
Chen et al., "Develop Gap-fill Process of Shallow Trench Isolation in 450mm Wafer by Advanced Flowable CVD Technology for Sub-20nm Node," 2016 27th Annual Semi Advanced Semiconductor Manufacturing Conference (ASMC), IEEE, May 16, 2016, pp. 157-159 (2016). |
Cheng et al., "Effect of carrier gas on the structure and electric properties of low dielectric constant SiCOH film using trimethylsilane prepared by plasma enhanced chemical vapor deposition," Thin Solid Films vol. 469-470, pp. 178-183 (2004). |
Closser et al., "Molecular Layer Deposition of a Highly Stable Silicon Oxycarbide Thin Film Using an Organic Chlorosilane and Water," ACS Applied Materials & Interfaces 10, pp. 24266-24274 (2018). |
CNIPA; Notice of Allowance dated Jun. 14, 2019 in Application No. 201410331047.6. |
CNIPA; Notice of Allowance dated Nov. 1, 2018 in Application No. 201830397219.9. |
CNIPA; Office Action dated Dec. 14, 2018 in Application No. 201410331047.6. |
CNIPA; Office Action dated Dec. 20, 2018 in Application No. 201710738549.4. |
CNIPA; Office Action dated Jun. 20, 2019 in Application No. 201711120632.1. |
CNIPA; Office Action dated Jun. 28, 2019 in Application No. 201510765170.3. |
CNIPA; Office Action dated Jun. 28, 2019 in Application No. 201510765406.3. |
CNIPA; Office Action dated Mar. 14, 2019 in Application No. 201610141027.1. |
CNIPA; Office Action dated Oct. 19, 2018 in Application No. 201510765170.3. |
CNIPA; Office Action dated Oct. 31, 2018 in Application No. 201510765406.3. |
Conroy et al., "The Preparation and Properties of Single Crystals of the 1S and 2S Polymorphs of Tantalum Disulfide" J. Solid State Chemistry, 4, pp. 345-350 (1972). |
De Silva et al., "Inorganic Hardmask Development for Extreme Ultraviolet Patterning," Journal of Micro/Nanolithography, MEMS, and MOEMS 18(1) (2018). |
Duffey et al., "Raman Scattering from 1T-TaS2" Solid State Communications 20, pp. 617-621 (1976). |
Elers et al. "Film Uniformity in Atomic Layer Deposition," Chemical Vapor Deposition, 12, pp. 13-24 (2006). |
EPO; Office Action dated Jan. 11, 2019 in Application No. 09836647.9. |
Fu et al., "Controlled Synthesis of Atomically Thin 1T-TaS2 for Tunable Charge Density Wave Phase Transitions" Chem. Mater. 28, pp. 7613-7618 (2016). |
Gesheva et al. "Composition and Microstructure of Black Molybdenum Photothermal Converter Layers Deposited by the Pyrolytic Hydrogen Reduction of MoO2Cl2" Thin Solid Films, 79, pp. 39-49 (1981). |
Gole et al. "Preparation of Nickel Sulfide Thin Films and Nanocrystallites Using Nickel Furfuraldehyde Thiosemicarbazone as Single-source Precursor," Advanced Materials Research, vols. 383-390, pp. 3828-3834 (2012). |
Habib et al. "Atmospheric oxygen plasma activation of silicon (100) surfaces," American Vacuum Society, 28(3), pp. 476-485 (2010). |
Han et al., "van der Waals Metallic Transition Metal Dichalcogenides" Chem. Rev. 118, pp. 6297-6336 (2018). |
Heyne et al., "The conversion mechanism of amorphous silicon to stoichiometric WS2" J. Materials Chemistry C, 6, pp. 4122-4130 (2018). |
Hossain et al., "Recent Advances in Two-Dimensional Materials with Charge Density Waves: Synthesis, Characterization and Applications" Crystals 7, 298, 19 pages (2017). |
Johansson et al. "Towards absolute asymmetric synthesis. Synthesis and crystal structure of stereochemically labile MCl2 (M=CO, Ni, Cu, Zn) complexes with diamine ligands," Inorganica Chimica Acta 358, pp. 3293-3302 (2005). |
JPO; Notice of Allowance dated Apr. 5, 2019 in Application No. 2015052198. |
JPO; Notice of Allowance dated Dec. 19, 2018 in Application No. 2014205548. |
JPO; Notice of Allowance dated Jun. 24, 2010 in Application No. 2003029767. |
JPO; Notice of Allowance dated Jun. 30, 2009 in Application No. 2004558313. |
JPO; Office Action dated Apr. 13, 2010 in Application No. 2003029767. |
JPO; Office Action dated Aug. 10, 2009 in Application No. 2003029767. |
JPO; Office Action dated Feb. 19, 2009 in Application No. 2004558313. |
JPO; Office Action dated Jan. 30, 2019 in Application No. 2015052198. |
JPO; Office Action dated Jun. 27, 2019 in Application No. 2015034774. |
JPO; Office Action dated Oct. 30, 2008 in Application No. 2004558313. |
Jung et al. "New Mechanisms for Ozone-Based ALO Growth of High-k Dielectrics via Nitrogen-Oxygen Species" ECS Transactions, 33(2), pp. 91-99 (2010). |
Kern et al., "Chemically Vapor-Deposited Borophosphosilicate Glasses for Silicon Device Applications" RCE Review, 43, 3, pp. 423-457 (1982). |
Kerrigan et al. "Low Temperature, Selective Atomic Layer Deposition of Cobalt Metal Films Using Bis(1,4-di-tert-butyl-1,3-diazadienyl)cobalt and Alkylamine Precursors," Chem. Materials, 29, pp. 7458-7466 (2017). |
Kim et al., "Novel Flowable CVD Process Technology for sub-20nm Interlayer Dielectrics," IEEE International Interconnect Technology Conference (IITC 2012), San Jose, California, USA, Jun. 4-6, 2012, pp. 1-3 (2012). |
KIPO; Decision of Intellectual Property Trial and Appeal Board dated May 13, 2019 in Application No. 10-2012-7004062. |
KIPO; Notice of Allowance dated Apr. 1, 2019 in Application No. 30-2018-0033442. |
KIPO; Notice of Allowance dated Feb. 27, 2018 in Application No. 10-2017-0175442. |
KIPO; Office Action dated Apr. 19, 2019 in Application No. 10-2013-0101944. |
KIPO; Office Action dated Apr. 24, 2019 in Application No. 10-2013-0036823. |
KIPO; Office Action dated Apr. 30, 2019 in Application No. 10-2013-0088450. |
KIPO; Office Action dated Jan. 12, 2019 in Application No. 10-2012-0064526. |
KIPO; Office Action dated Jan. 22, 2019 in Application No. 10-2014-7017110. |
KIPO; Office Action dated Jan. 30, 2019 in Application No. 30-2018-0033442. |
KIPO; Office Action dated Mar. 27, 2019 in Application No. 10-2012-0076564. |
KIPO; Office Action dated Mar. 27, 2019 in Application No. 10-2013-0084459. |
KIPO; Office Action dated May 21, 2019 in Application No. 10-2013-0121554. |
KIPO; Office Action dated May 30, 2019 in Application No. 10-2012-7004062. |
KIPO; Office Action dated May 31, 2019 in Application No. 10-2013-0050740. |
Kogelschatz et al. "Ozone Generation from Oxygen and Air: Discharge Physics and Reaction Mechanisms" Ozone Science & Engineering, 10, pp. 367-378 (1998). |
Kukli et al. "Properties of tantalum oxide thin films grown by atomic layer deposition" Thin Solid Films, 260, pp. 135-142 (1995). |
Kukli et al., "Influence of atomic layer deposition parameters on the phase content of Ta2O5 films" J. Crystal Growth, 212, pp. 459-468 (2000). |
Kwon et al., "Substrate Selectivity of (tBu-Allyl)Co(CO)3 during Thermal Atomic Layer Deposition of Cobalt," Chem. Materials, 24, pp. 1025-1030 (2012). |
Lee et al., "Characteristics Of Low-K Sioc Films Deposited Via Atomic Layer Deposition," Thin Solid Films 645, pp. 334-339 (2018). |
Levy et al., "Reflow Mechanisms of Contact Vias in VLSI Processing" J. Electrochem. Soc.: Solid-State Science and Technology, 133, 7, pp. 1417-1424 (1986). |
Li et al., "Metallic Transition-Metal Dichalcogenide Nanocatalysts for Energy Conversion" Chem. 4, pp. 1510-1537 (2018). |
Lieberman, et al., "Principles of Plasma Discharges and Materials Processing" Second Edition, 368-381 (2005). |
Lim et al. "Synthesis and Characterization of Volatile, Thermally Stable, Reactive Transistion Metal Amidinates," Inorg. Chem., 42, pp. 7951-7958 (2003). |
Liu et al., "Van der Waals metal-semiconductor junction: Weak Fermi level pinning enables effective tuning of Schottky barrier" Sci. Adv. 2: e1600069, 7 pages (2016). |
Maeno, "Gecko Tape Using Carbon Nanotubes," Nitto Denko Gihou, 47, 48-51 (2009). |
Makela et al. "Thermal Atomic Layer Deposition of Continuous and Highly Conducting Gold Thin Films," Chem. Materials, 29, pp. 6130-6136 (2017). |
Mattinen et al., "Crystalline tungsten sulfide thin films by atomic layer deposition and mild annealing" J. Vac. Sci. Tech. 37, 020921, 35 pages (2019). |
Nakano et al., "Layer-by-Layer Epitaxial Growth of Scalable WSe2 on Sapphire by Molecular Beam Epitaxy" Nano. Lett. 17, pp. 5595-5599 (2017). |
Ngo et al. "Atomic layer deposition of photoactive CoO/SrTiO3 and CoO/TiO2 on Si(001) for visible light driven photoelectrochemical water oxidation," J. Applied Physics, 114, 9 pages (2013). |
Ohchi et al. "Reducing damage to Si substrates during gate etching processes." Japanese Journal of Applied Physics 47.7R 5324 (2008). |
Peters et al., "Aerosol-Assisted Chemical Vapor Deposition of NbS2 and TaS2 Thin Films from Pentakis(dimethylamido)metal Complexes and 2-Methylpropanethiol" Eur. J. Inorg. Chem., pp. 4179-4185 (2005). |
Radamson et al. "Growth of Sn-alloyed Group IV Materials for Photonic and Electronic Applications" Chapter 5 pp. 129-144, Manufacturing Nano Structures (2014). |
Ryu et al., "Persistent Charge-Density-Wave Order in Single-Layer TaSe2" Nano. Lett. 18, pp. 689-694 (2018). |
Samal et al., "Low-Temperature (<200° C.) Plasma Enhanced Atomic Deposition of Dense Titanium Nitride Thin Films" (2012). |
Sanders et al., "Crystalline and electronic structure of single-layer TaS2" Phys. Rev. B. 94, 081404, 6 pages (2016). |
Schindler, Dissertation, Next Generation High-k Dielectrics for DRAM Produced by Atomic Layer Deposition Studied by Transmission Electron Microscopy (2015). |
Sellers, Making Your Own Timber Dogs, Paul Sellers blog, Published on Nov. 18, 2014, [online], [site visted Jun. 10, 2017]. Available from Internet, <URL: https://paulsellers.com/2014/11/making-your-own-timber-dogs/>. |
Seshadri et al., "Ultrathin Extreme Ultraviolet Patterning Stack Using Polymer Brush As An Adhesion Promotion Layer," Journal of Micro/Nanolithography, MEMS, and MOEMS 16(3) (2017). |
Simchi et al., "Sulfidation of 2D transition metals (Mo, W, Re, Nb, Ta): thermodynamics, processing, and characterization" J. Materials Science 52: 17, 9 pages (2017). |
Stanley et al. "Feedgas for Modern High-Performance Ozone Generators" Ozonia Ltd., Duebendorf, Switzerland. 7 pages. Available Jul. 14, 2017 online at: http://www.degremont-technologies.com/cms_medias/pdf/tech_ozonia_feedgas.pdf (1999). |
Svetin et al., "Three-dimensional resistivity and switching between correlated electronic states in 1T-TaS2" Nature, Scientific Reports Apr. 12, 2017, 7:46048, 10 pages (2017). |
Tatehaba et al., "Adhesion Energy of Polystyrene and Substrate in Function Water," 5th International Symposium of Cleaning Technology in Semiconductor Device Manufacturing, pp. 560-565 (1998). |
TIPO; Notice of Allowance dated Apr. 12, 2019 in Application No. 104132991. |
TIPO; Notice of Allowance dated Apr. 26, 2019 in Application No. 104107888. |
TIPO; Notice of Allowance dated Feb. 21, 2019 in Application No. 107303723. |
TIPO; Notice of Allowance dated Jan. 28, 2015 in Application No. 99114329. |
TIPO; Notice of Allowance dated Jan. 30, 2019 in Application No. 103132230. |
TIPO; Notice of Allowance dated Jun. 19, 2019 in Application No. 104124377. |
TIPO; Notice of Allowance dated May 7, 2019 in Application No. 105104453. |
TIPO; Notice of Allowance dated May 8, 2019 in Application No. 104110326. |
TIPO; Notice of Allowance dated May 9, 2019 in Application No. 104107876. |
TIPO; Office Action dated Apr. 25, 2019 in Application No. 104141679. |
TIPO; Office Action dated Apr. 25, 2019 in Application No. 105101536. |
TIPO; Office Action dated Apr. 29, 2019 in Application No. 104122889. |
TIPO; Office Action dated Dec. 26, 2018 in Application No. 106127690. |
TIPO; Office Action dated Feb. 22, 2019 in Application No. 104105533. |
TIPO; Office Action dated Jan. 30, 2019 in Application No. 104122890. |
TIPO; Office Action dated Jan. 7, 2019 in Application No. 104132991. |
TIPO; Office Action dated Jan. 7, 2019 in Application No. 106138800. |
TIPO; Office Action dated Jun. 20, 2017 in Application No. 102125191. |
TIPO; Office Action dated Jun. 4, 2019 in Application No. 107123992. |
TIPO; Office Action dated May 28, 2019 in Application No. 107125586. |
TIPO; Office Action dated May 31, 2019 in Application No. 108102146. |
TIPO; Office Action dated May 6, 2019 in Application No. 104108277. |
TIPO; Office Action dated Nov. 19, 2018 in Application No. 104105965. |
TIPO; Office Action dated Nov. 20, 2018 in Application No. 107118271. |
Todi et al., "Characterization of Pt-Ru Binary Alloy Thin Films for Work Function Tuning," IEEE Electron Device Letters, vol. 27, No. 7, pp. 542-545 (2006). |
Ueda et al. "Enhanced Sidewall Grown (ESG) process: towards PEALD with conformality above 100%," Extended Abstracts of the 2011 International Conference on Solid State Devices and Materials, Nagoya, pp. 34-35 (2011). |
USPTO; Advisory Action dated Feb. 4, 2019 in U.S. Appl. No. 13/169,951. |
USPTO; Advisory Action dated Jan. 22, 2019 in U.S. Appl. No. 14/219,839. |
USPTO; Advisory Action dated Jan. 22, 2019 in U.S. Appl. No. 14/219,879. |
USPTO; Advisory Action dated Jul. 22, 2019 in U.S. Appl. No. 14/829,565. |
USPTO; Advisory Action dated Jul. 22, 2019 in U.S. Appl. No. 15/262,990. |
USPTO; Advisory Action dated Mar. 15, 2019 in U.S. Appl. No. 15/592,730. |
USPTO; Ex Parte Quayle Action dated Mar. 21, 2019 in U.S. Appl. No. 15/615,489. |
USPTO; Final Office Action dated Apr. 18, 2019 in U.S. Appl. No. 14/829,565. |
USPTO; Final Office Action dated Apr. 19, 2019 in U.S. Appl. No. 15/795,056. |
USPTO; Final Office Action dated Dec. 14, 2018 in U.S. Appl. No. 15/798,201. |
USPTO; Final Office Action dated Dec. 28, 2018 in U.S. Appl. No. 13/184,351. |
USPTO; Final Office Action dated Feb. 21, 2019 in U.S. Appl. No. 15/796,593. |
USPTO; Final Office Action dated Feb. 25, 2019 in U.S. Appl. No. 14/793,323. |
USPTO; Final Office Action dated Feb. 27, 2019 in U.S. Appl. No. 15/489,453. |
USPTO; Final Office Action dated Feb. 4, 2019 in U.S. Appl. No. 15/410,503. |
USPTO; Final Office Action dated Feb. 7, 2019 in U.S. Appl. No. 14/444,744. |
USPTO; Final Office Action dated Feb. 7, 2019 in U.S. Appl. No. 15/286,503. |
USPTO; Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 15/273,488. |
USPTO; Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 15/428,808. |
USPTO; Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 15/691,241. |
USPTO; Final Office Action dated Jan. 25, 2019 in U.S. Appl. No. 14/188,760. |
USPTO; Final Office Action dated Jul. 16, 2019 in U.S. Appl. No. 15/672,119. |
USPTO; Final Office Action dated Jun. 14, 2019 in U.S. Appl. No. 15/917,262. |
USPTO; Final Office Action dated Jun. 25, 2019 in U.S. Appl. No. 14/457,058. |
USPTO; Final Office Action dated Jun. 25, 2019 in U.S. Appl. No. 15/377,439. |
USPTO; Final Office Action dated Jun. 25, 2019 in U.S. Appl. No. 15/598,169. |
USPTO; Final Office Action dated Jun. 26, 2019 in U.S. Appl. No. 15/589,861. |
USPTO; Final Office Action dated Mar. 14, 2019 in U.S. Appl. No. 15/135,258. |
USPTO; Final Office Action dated Mar. 15, 2019 in U.S. Appl. No. 13/651,144. |
USPTO; Final Office Action dated Mar. 28, 2019 in U.S. Appl. No. 15/182,504. |
USPTO; Final Office Action dated Mar. 6, 2019 in U.S. Appl. No. 15/589,849. |
USPTO; Final Office Action dated Mar. 6, 2019 in U.S. Appl. No. 15/636,307. |
USPTO; Final Office Action dated Mar. 7, 2019 in U.S. Appl. No. 15/815,483. |
USPTO; Final Office Action dated May 1, 2019 in U.S. Appl. No. 15/491,726. |
USPTO; Final Office Action dated May 13, 2019 in U.S. Appl. No. 15/262,990. |
USPTO; Final Office Action dated May 2, 2019 in U.S. Appl. No. 15/890,037. |
USPTO; Final Office Action dated May 21, 2019 in U.S. Appl. No. 15/402,993. |
USPTO; Non-Final Office Action dated Apr. 16, 2019 in U.S. Appl. No. 29/604,101. |
USPTO; Non-Final Office Action dated Apr. 17, 2019 in U.S. Appl. No. 15/886,225. |
USPTO; Non-Final Office Action dated Apr. 19, 2019 in U.S. Appl. No. 15/985,298. |
USPTO; Non-Final Office Action dated Apr. 2, 2019 in U.S. Appl. No. 16/147,047. |
USPTO; Non-Final Office Action dated Apr. 2, 2019 in U.S. Appl. No. 16/188,690. |
USPTO; Non-Final Office Action dated Apr. 24, 2019 in U.S. Appl. No. 15/896,986. |
USPTO; Non-Final Office Action dated Apr. 25, 2019 in U.S. Appl. No. 15/410,503. |
USPTO; Non-Final Office Action dated Apr. 25, 2019 in U.S. Appl. No. 16/038,024. |
USPTO; Non-Final Office Action dated Apr. 4, 2019 in U.S. Appl. No. 14/508,489. |
USPTO; Non-Final Office Action dated Dec. 14, 2018 in U.S. Appl. No. 15/340,512. |
USPTO; Non-Final Office Action dated Dec. 21, 2018 in U.S. Appl. No. 15/589,861. |
USPTO; Non-Final Office Action dated Dec. 21, 2018 in U.S. Appl. No. 15/798,150. |
USPTO; Non-Final Office Action dated Dec. 28, 2018 in U.S. Appl. No. 14/752,712. |
USPTO; Non-Final Office Action dated Feb. 1, 2019 in U.S. Appl. No. 15/627,189. |
USPTO; Non-Final Office Action dated Feb. 11, 2019 in U.S. Appl. No. 15/707,786. |
USPTO; Non-Final Office Action dated Feb. 20, 2019 in U.S. Appl. No. 29/646,377. |
USPTO; Non-Final Office Action dated Feb. 21, 2019 in U.S. Appl. No. 15/987,755. |
USPTO; Non-Final Office Action dated Feb. 25, 2019 in U.S. Appl. No. 15/380,921. |
USPTO; Non-Final Office Action dated Feb. 5, 2019 in U.S. Appl. No. 15/402,993. |
USPTO; Non-Final Office Action dated Feb. 8, 2019 in U.S. Appl. No. 15/672,119. |
USPTO; Non-Final Office Action dated Feb. 8, 2019 in U.S. Appl. No. 15/917,262. |
USPTO; Non-Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 14/457,058. |
USPTO; Non-Final Office Action dated Jan. 11, 2019 in U.S. Appl. No. 15/879,209. |
USPTO; Non-Final Office Action dated Jan. 22, 2019 in U.S. Appl. No. 15/879,209. |
USPTO; Non-Final Office Action dated Jan. 24, 2019 in U.S. Appl. No. 16/018,692. |
USPTO; Non-Final Office Action dated Jan. 25, 2019 in U.S. Appl. No. 15/434,051. |
USPTO; Non-Final Office Action dated Jan. 30, 2019 in U.S. Appl. No. 15/262,990. |
USPTO; Non-Final Office Action dated Jul. 15, 2019 in U.S. Appl. No. 14/219,839. |
USPTO; Non-Final Office Action dated Jul. 16, 2019 in U.S. Appl. No. 15/636,307. |
USPTO; Non-Final Office Action dated Jul. 16, 2019 in U.S. Appl. No. 16/014,981. |
USPTO; Non-Final Office Action dated Jul. 19, 2019 in U.S. Appl. No. 15/135,258. |
USPTO; Non-Final Office Action dated Jun. 14, 2019 in U.S. Appl. No. 15/796,593. |
USPTO; Non-Final Office Action dated Jun. 24, 2019 in U.S. Appl. No. 14/219,879. |
USPTO; Non-Final Office Action dated Jun. 25, 2019 in U.S. Appl. No. 15/719,208. |
USPTO; Non-Final Office Action dated Jun. 27, 2019 in U.S. Appl. No. 14/793,323. |
USPTO; Non-Final Office Action dated Jun. 27, 2019 in U.S. Appl. No. 15/286,503. |
USPTO; Non-Final Office Action dated Jun. 28, 2019 in U.S. Appl. No. 15/589,849. |
USPTO; Non-Final Office Action dated Jun. 3, 2019 in U.S. Appl. No. 15/060,412. |
USPTO; Non-Final Office Action dated Jun. 5, 2019 in U.S. Appl. No. 15/489,453. |
USPTO; Non-Final Office Action dated Mar. 1, 2019 in U.S. Appl. No. 15/660,805. |
USPTO; Non-Final Office Action dated Mar. 13, 2019 in U.S. Appl. No. 15/836,547. |
USPTO; Non-Final Office Action dated Mar. 19, 2019 in U.S. Appl. No. 15/691,241. |
USPTO; Non-Final Office Action dated Mar. 28, 2019 in U.S. Appl. No. 15/205,827. |
USPTO; Non-Final Office Action dated Mar. 29, 2019 in U.S. Appl. No. 15/940,801. |
USPTO; Non-Final Office Action dated Mar. 7, 2019 in U.S. Appl. No. 15/598,169. |
USPTO; Non-Final Office Action dated Mar. 8, 2019 in U.S. Appl. No. 15/917,224. |
USPTO; Non-Final Office Action dated May 30, 2019 in U.S. Appl. No. 15/900,425. |
USPTO; Non-Final Office Action dated May 31, 2019 in U.S. Appl. No. 15/380,909. |
USPTO; Non-Final Office Action dated May 8, 2019 in U.S. Appl. No. 15/925,532. |
USPTO; Notice of Allowance dated Apr. 16, 2019 in U.S. Appl. No. 15/705,955. |
USPTO; Notice of Allowance dated Apr. 17, 2019 in U.S. Appl. No. 16/171,098. |
USPTO; Notice of Allowance dated Apr. 19, 2019 in U.S. Appl. No. 15/222,780. |
USPTO; Notice of Allowance dated Apr. 19, 2019 in U.S. Appl. No. 15/273,488. |
USPTO; Notice of Allowance dated Apr. 19, 2019 in U.S. Appl. No. 15/726,222. |
USPTO; Notice of Allowance dated Apr. 25, 2019 in U.S. Appl. No. 15/428,808. |
USPTO; Notice of Allowance dated Apr. 4, 2019 in U.S. Appl. No. 12/618,355. |
USPTO; Notice of Allowance dated Apr. 4, 2019 in U.S. Appl. No. 13/169,951. |
USPTO; Notice of Allowance dated Apr. 9, 2019 in U.S. Appl. No. 16/026,711. |
USPTO; Notice of Allowance dated Dec. 21, 2018 in U.S. Appl. No. 15/067,028. |
USPTO; Notice of Allowance dated Dec. 5, 2017 in U.S. Appl. No. 15/832,188. |
USPTO; Notice of Allowance dated Feb. 21, 2019 in U.S. Appl. No. 15/659,631. |
USPTO; Notice of Allowance dated Feb. 21, 2019 in U.S. Appl. No. 15/662,107. |
USPTO; Notice of Allowance dated Feb. 25, 2019 in U.S. Appl. No. 15/074,813. |
USPTO; Notice of Allowance dated Feb. 8, 2019 in U.S. Appl. No. 15/892,756. |
USPTO; Notice of Allowance dated Jan. 23, 2019 in U.S. Appl. No. 15/729,485. |
USPTO; Notice of Allowance dated Jan. 9, 2019 in U.S. Appl. No. 15/673,110. |
USPTO; Notice of Allowance dated Jan. 9, 2019 in U.S. Appl. No. 15/683,701. |
USPTO; Notice of Allowance dated Jul. 17, 2019 in U.S. Appl. No. 15/182,504. |
USPTO; Notice of Allowance dated Jun. 11, 2019 in U.S. Appl. No. 14/752,712. |
USPTO; Notice of Allowance dated Jun. 13, 2019 in U.S. Appl. No. 16/396,475. |
USPTO; Notice of Allowance dated Jun. 24, 2019 in U.S. Appl. No. 15/650,686. |
USPTO; Notice of Allowance dated Jun. 3, 2019 in U.S. Appl. No. 15/434,051. |
USPTO; Notice of Allowance dated Mar. 13, 2019 in U.S. Appl. No. 15/144,506. |
USPTO; Notice of Allowance dated Mar. 20, 2019 in U.S. Appl. No. 15/672,063. |
USPTO; Notice of Allowance dated Mar. 25, 2019 in U.S. Appl. No. 14/997,683. |
USPTO; Notice of Allowance dated May 1, 2019 in U.S. Appl. No. 16/171,098. |
USPTO; Notice of Allowance dated May 14, 2019 in U.S. Appl. No. 15/798,150. |
USPTO; Notice of Allowance dated May 21, 2019 in U.S. Appl. No. 15/627,189. |
USPTO; Notice of Allowance dated May 24, 2019 in U.S. Appl. No. 15/340,512. |
USPTO; Notice of Allowance dated May 31, 2019 in U.S. Appl. No. 15/957,565. |
USPTO; Notice of Allowance dated May 6, 2019 in U.S. Appl. No. 15/673,278. |
Vasilev, "Borophosphosilicate Glass Films in Silicon Microelectronics, Part 1: Chemical Vapor Deposition, Composition, and Properties" Russian Microelectronics, vol. 33, No. 5, pp. 271-284 (2004). |
WIPO; International Search Report and Written Opinion dated Dec. 20, 2018 in Application No. PCT/IB2018/001003. |
WIPO; International Search Report and Written Opinion dated Dec. 20, 2018 in Application No. PCT/IB2018/001022. |
WIPO; International Search Report and Written Opinion dated Jan. 25, 2019 in Application No. PCT/IB2018/000192. |
WIPO; International Search Report and Written Opinion dated Jan. 4, 2019 in Application No. PCT/IB2018/000936. |
WIPO; International Search Report and Written Opinion dated May 23, 2019 in Application No. PCT/IB2019/050974. |
Xing et al., "Ising Superconductivity and Quantum Phase Transition in Macro-Size Monolayer NbSe2" Nano. Lett. 17, pp. 6802-6807 (2017). |
Xu et al., "Contacts between Two- and Three-Dimensional Materials: Ochmic, Schottky, and p-n Heterojunctions" ACS Nano 10, pp. 4895-4919 (2016). |
Yuan et al., "Facile Synthesis of Single Crystal Vanadium Disulfide Nanosheets by Chemical Vapor Deposition for Efficient Hydrogen Evolution Reaction" Adv. Mater. 27, pp. 5605-5609 (2015). |
Zhou et al., "A library of atomically thin metal chalcogenides" Nature 556, pp. 355-361 (2018). |
Cited By (269)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US11795545B2 (en) | 2014-10-07 | 2023-10-24 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US11956977B2 (en) | 2015-12-29 | 2024-04-09 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US11749562B2 (en) | 2016-07-08 | 2023-09-05 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11694892B2 (en) | 2016-07-28 | 2023-07-04 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US11396702B2 (en) | 2016-11-15 | 2022-07-26 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11851755B2 (en) | 2016-12-15 | 2023-12-26 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11695054B2 (en) | 2017-07-18 | 2023-07-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11581220B2 (en) | 2017-08-30 | 2023-02-14 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11682572B2 (en) | 2017-11-27 | 2023-06-20 | Asm Ip Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11735414B2 (en) | 2018-02-06 | 2023-08-22 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11939673B2 (en) | 2018-02-23 | 2024-03-26 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11908733B2 (en) | 2018-05-28 | 2024-02-20 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11837483B2 (en) | 2018-06-04 | 2023-12-05 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11952658B2 (en) | 2018-06-27 | 2024-04-09 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11814715B2 (en) | 2018-06-27 | 2023-11-14 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11804388B2 (en) | 2018-09-11 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11735445B2 (en) | 2018-10-31 | 2023-08-22 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11866823B2 (en) | 2018-11-02 | 2024-01-09 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US11244825B2 (en) | 2018-11-16 | 2022-02-08 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11798999B2 (en) | 2018-11-16 | 2023-10-24 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
USD992615S1 (en) * | 2018-12-07 | 2023-07-18 | Tokyo Electron Limited | Focus ring |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11769670B2 (en) | 2018-12-13 | 2023-09-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
USD891382S1 (en) * | 2019-02-08 | 2020-07-28 | Applied Materials, Inc. | Process shield for a substrate processing chamber |
USD933725S1 (en) * | 2019-02-08 | 2021-10-19 | Applied Materials, Inc. | Deposition ring for a substrate processing chamber |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11798834B2 (en) | 2019-02-20 | 2023-10-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11615980B2 (en) | 2019-02-20 | 2023-03-28 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11901175B2 (en) | 2019-03-08 | 2024-02-13 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11453946B2 (en) | 2019-06-06 | 2022-09-27 | Asm Ip Holding B.V. | Gas-phase reactor system including a gas detector |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11908684B2 (en) | 2019-06-11 | 2024-02-20 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11746414B2 (en) | 2019-07-03 | 2023-09-05 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11876008B2 (en) | 2019-07-31 | 2024-01-16 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11898242B2 (en) | 2019-08-23 | 2024-02-13 | Asm Ip Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11827978B2 (en) | 2019-08-23 | 2023-11-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11837494B2 (en) | 2020-03-11 | 2023-12-05 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
USD979524S1 (en) * | 2020-03-19 | 2023-02-28 | Applied Materials, Inc. | Confinement liner for a substrate processing chamber |
USD986190S1 (en) | 2020-03-19 | 2023-05-16 | Applied Materials, Inc. | Confinement plate for a substrate processing chamber |
USD943539S1 (en) * | 2020-03-19 | 2022-02-15 | Applied Materials, Inc. | Confinement plate for a substrate processing chamber |
USD934315S1 (en) * | 2020-03-20 | 2021-10-26 | Applied Materials, Inc. | Deposition ring for a substrate processing chamber |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
USD1013841S1 (en) * | 2020-04-20 | 2024-02-06 | Reliance Worldwide Corporation | Retaining ring |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11798830B2 (en) | 2020-05-01 | 2023-10-24 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
USD933726S1 (en) * | 2020-07-31 | 2021-10-19 | Applied Materials, Inc. | Deposition ring for a semiconductor processing chamber |
US11581166B2 (en) | 2020-07-31 | 2023-02-14 | Applied Materials, Inc. | Low profile deposition ring for enhanced life |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US11961741B2 (en) | 2021-03-04 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11959168B2 (en) | 2021-04-26 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981459S1 (en) * | 2021-06-16 | 2023-03-21 | Ebara Corporation | Retaining ring for substrate |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11967488B2 (en) | 2022-05-16 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US11959171B2 (en) | 2022-07-18 | 2024-04-16 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
Also Published As
Publication number | Publication date |
---|---|
TWD186431S (en) | 2017-11-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
USD876504S1 (en) | Exhaust flow control ring for semiconductor deposition apparatus | |
USD817303S1 (en) | Headphones | |
USD840887S1 (en) | Exhaust pipe | |
USD803130S1 (en) | Wheel | |
USD837119S1 (en) | Exhaust pipe | |
USD804383S1 (en) | Exhaust pipe | |
USD836018S1 (en) | Earring | |
USD804381S1 (en) | Exhaust pipe | |
USD833936S1 (en) | Exhaust pipe | |
USD842435S1 (en) | Faucet | |
USD816013S1 (en) | Tire | |
USD804382S1 (en) | Exhaust pipe | |
USD893047S1 (en) | Cuvette | |
USD830941S1 (en) | Wheel | |
USD771780S1 (en) | Elbow fitting | |
USD801253S1 (en) | Wheel | |
USD859907S1 (en) | Oven | |
USD844168S1 (en) | Booth | |
USD815249S1 (en) | Faucet (8022) | |
USD858122S1 (en) | Armchair | |
USD832756S1 (en) | Exhaust pipe | |
USD850062S1 (en) | Shirt | |
USD830937S1 (en) | Wheel | |
USD800045S1 (en) | Wheel | |
USD836923S1 (en) | Hanger |