JP2008514003A - ポリシリコンゲルマニウムゲートスタック及びその形成方法 - Google Patents

ポリシリコンゲルマニウムゲートスタック及びその形成方法 Download PDF

Info

Publication number
JP2008514003A
JP2008514003A JP2007532373A JP2007532373A JP2008514003A JP 2008514003 A JP2008514003 A JP 2008514003A JP 2007532373 A JP2007532373 A JP 2007532373A JP 2007532373 A JP2007532373 A JP 2007532373A JP 2008514003 A JP2008514003 A JP 2008514003A
Authority
JP
Japan
Prior art keywords
layer
poly
sige
angstroms
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007532373A
Other languages
English (en)
Inventor
アジット パランジュペ,
カンツァン ツァン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008514003A publication Critical patent/JP2008514003A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Thin Film Transistor (AREA)

Abstract

従来のCMOSゲートスタックと比べて反転キャパシタンスを増大させるCMOSゲートスタックが記載される。ゲート誘電体層に近い従来のポリSiゲートの代わりに、ポリSiGeゲートを用いて、活性化され得る埋込まれたドーパント量を増加させる。この増加は、従来のCMOSゲートスタックにおける反転キャパシタンスを制限するポリシリコンの枯渇を克服する。ポリSiGe層をゲートスタックに組込むために、ゲート誘電体層とポリSiGe層との間にSi薄層を堆積させる。適切なサリサイド形成を確実にするために、ポリSiGe層の上にポリSi層のキャップを被せる。ポリSiGeの上に微粒子のポリSiを得るために、ポリSi層とポリSiGe層の間に第2のSi層を堆積させる
【選択図】 図1

Description

発明の背景
発明の分野
[0001]本発明の実施形態は半導体デバイスのためのポリシリコンゲルマニウム(ポリSiGe)ゲートスタック及びその形成方法に関する。
関連技術の説明
[0002]トランジスタドライブ電流、つまり、CMOS(相補形金属酸化膜半導体)デバイスのスイッチング速度は反転キャパシタンスの増大とともに増加する。反転キャパシタンスを制限する要因の1つは反転中の従来のポリSiゲート電極における電荷キャリアの枯渇である。高性能論理回路に用いられるCMOSデバイスに関して、代替的ゲート電極材料はポリシリコン(又はポリSi)の枯渇を回避する必要がある。
[0003]電荷キャリア濃度が少なくとも二桁だけポリSiのキャリア濃度を超える金属ゲートを用いると、実質的にはポリSi枯渇作用が排除される。しかしながら、従来のCMOSフローに金属ゲートを組込むことはプロセス統合が難しいために複雑である。主として、金属ゲートは引き続き高温アニールを切り抜ける必要がある熱化学安定性を欠いている。金属ゲートの堆積とパターン形成も現在は未熟である。
[0004]代替的方法は従来のポリSiゲートの代わりに、ポリSiGe(ポリシリコンゲルマニウム)ゲートを用いることである。ポリSiへゲルマニウム(Ge)を組込むことにより、活性化され得る埋込みドーパントの量を増加させるためのドーピングが高められる。このことは、特にホウ素のようなドーパントにも当てはまる。より高いドーパント活性化によって、より高い電荷キャリア濃度と反転中に同時に起きるゲート電極枯渇の低下が得られる。更に、SiGeはミッドギャップ半導体材料であるので、NMOSやPMOSデバイスのしきい電圧が異極性のものとほとんど等しい。補足的なしきい電圧は適切なCMOS回路動作に必要である。ポリSiGeの利点は、更に、材料が化学的にポリSiと似ていることである。これにより、ポリSiGeに適合させるためにプロセス統合スキームの最低限の調節が要求される。ポリSiGeは熱的に安定であり、デバイスが製造手順において受ける熱サイクルを切り抜けることができる。
発明の概要
[0005]本発明の実施形態は、電荷キャリアの枯渇を低減させるCMOSデバイスのポリSiGeゲートを設けることである。
[0006]一実施形態においては、半導体MOSデバイスのゲートスタックは、前記半導体MOSデバイスの半導体基板上に形成された誘電体膜と、誘電体膜上に形成された第1のα-Si層と、第1のα-Si層上に形成されたポリSiGe層と、ポリSiGe層上に形成された第2のα-Si層と、第2のα-Si層上に形成されたポリSi層とを備えている。
[0007]他の実施形態においては、基板上にα-Si層を堆積させる方法は、基板をチャンバ内に配置するステップと、第1のSi含有ソースガスをチャンバへ導入するステップと、前記基板上に約50オングストローム未満厚さのα-Si層が堆積するまで第2のSi含有ソースガスをチャンバに導入するステップとを含む。
[0008]他の実施形態においては、基板上にゲートスタックを形成する方法は、基板の最上部に誘電体薄層を堆積させるステップと、誘電体膜上に第1のα-Si層を堆積させるステップと、第1のα-Si層上にポリSiGe層を堆積させるステップと、ポリSiGe層上に第2のα-Si層を堆積させるステップと、第2のα-Si層上にポリSi層を堆積させるステップとを含む。
[0009]本明細書に記載される発明の特徴が得られ詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、添付の図面に示されるその実施形態によって参照してもよい。しかしながら、添付の図面が本発明の典型的な実施形態を示し、それ故、本発明の範囲を制限するものとしてみなされず、本発明が他の等しい実施形態を許容することができることは留意すべきである。
[0022]理解を容易にするために、図面に共通な同一の要素を示すために、可能な場合には、同一の符号を用いた。また、図面が一定の比率の縮尺でないことに留意のこと。
詳細な説明
[0023]ゲルマニウム含量が約5原子%〜40原子%であるポリSiGeゲートは、反転中のゲート電極枯渇を減少させるが、Geがゲート酸化物をエッチングして結果として揮発性GeOを形成することから、SiOベースゲート誘電体上に直接堆積させることができない。GeO形成は、高品質のポリSiGe膜の成長を阻止する。この化学不適合性はゲート誘電体とポリSiGe間にα-Si薄層を組込むことを望ましくする。
[0024]しかしながら、ポリSiGeとゲート誘電体間にドープされていないα-Si層を挿入すると、ドーパントの枯渇問題が悪化する。この問題は、隣接するポリSiGe層からGeや他のドーパントが外方に拡散することによって続いての高温処理中にドープされるほどα-Si層が十分に薄い場合には修正され得る。実験的に、α-Si層が少なくとも、30-50オングストロームでなければならないことがわかった。この層が薄すぎる場合には、Geが続いての高温処理でポリSiGe膜中の弱点を通して拡散し得るので、ゲート誘電体のゲルマニウム攻撃の危険が行われる。層が厚すぎる場合には、ドーパント枯渇、ポリSiGeゲート電極の主な動機の1つが軽減されず、増大することさえある。また、ゲート電極の作業関数は、ゲート電極に隣接する材料の作業関数に左右される。α-Siが厚すぎる場合には、アニール後のポリSiの作業関数を示す。α-Siが十分に薄い場合には、隣接するポリSiGeからのGeが続いての熱アニール中にα-Siに拡散し、ポリSiGeの作業関数に変わる。
[0025]微粒子のポリSi又はポリSiGeが望ましい。第一に、微粒子サイズでは、埋込まれたドーパントは粒子全体により均一に分配される。大きな粒子膜の場合、ドーパントは粒界で分離してしまい、電気的活性なドーパント濃度を低下させる。第二に、微粒子膜は、一般的には、平滑なエッジをもつエッチングラインを生じる。ゲート電極のラインエッジの粗さはしきい値未満勾配としきい電圧拡大を高めることがあり、いずれも高性能デバイスに望ましくない。第三に、シリサイド形成と最初に形成された状態の高抵抗相から熱アニール後の低抵抗相へのシリサイドの変換はより微粒子サイズほど容易になる。
[0026]ポリSiGe上に堆積させるポリSiの形態を改善する一方法はポリSiGeとポリSi層間にα-Si層を挿入することである。α-Si層は、ポリSi堆積の間、アモルファス微細構造を保持し得る。α-Si介入層をもつポリSiGe上のポリSiの成長はヘテロエピタキシャルではない。それ故、ポリSi粒子構造は、膜がSiO層のようなアモルファス表面上に直接堆積される時に得られた構造と似ている。
[0027]図1は、ポリSiGe層を含むゲートスタックを示す図である。シリコン基板201上に、二酸化シリコン202aを約20オングストローム〜約150オングストロームの厚さに、酸窒化物を約20オングストローム〜約100オングストロームの厚さに堆積させることによりゲート誘電体薄層202が形成される。或いは、ゲート誘電体薄層202は二酸化シリコン層202aのみを含んでもよい。α-Si薄層207は、誘電体薄層202の最上部に形成される。α-Si薄層207の厚さは、約30オングストローム〜50オングストロームである。α-Si薄層207後、ポリSiGe層208は約300オングストローム〜約1000オングストロームの厚さに堆積される。ポリSiGe層208の最上部に、α-Si薄層209が約50オングストローム〜約300オングストロームの厚さに堆積される。ポリSiゲート204は、α-Si薄層209の最上部に約300オングストローム〜約1500オングストロームの厚さに堆積される。ソース領域205とドレイン領域206はそれぞれ誘電体薄層202の下のいずれかの側で部分的に形成される。
[0028]α-Si薄層207、ポリSiGe層208、α-Si薄層の堆積は、急速なガスフローと温度サイクルの影響を受けやすいリアクタにおいてプロセスガスの新規なシーケンスと代替的なプロセスガスの使用を用いることによって得ることができる。本発明は、カリフォルニア州、サンタクララのアプライドマテリアルズ社から市販されているもののようなミニバッチ低圧気相化学成長法(LPCVD)システム、FlexStarによって下で説明的に記載される。しかしながら、本発明が単一ウエハLPCVDシステム又は他の適用しうるLPCVDシステムのような他のシステム構造に有用であることは理解すべきである。ここに報告した実験の全てがFlexStarについて行われた。FlexStarの関連した詳細とCVDプロセスへの適用は、2002年の3月5日発行の共同譲渡された米国特許第6,353,593号、2002年8月9日出願の米国特許出願第10/216,079号に見ることができ、いずれの開示内容も本明細書に援用されている。
[0029]このFlexStarシステムは、クロスウエハガスフローを使う。即ち、プロセスガスは、ウエハ表面全体に流れる。クロスウエハガスフローはこの適用に幾つかの利点がある。第一に、反応種濃度とドーパント濃度はウエハ表面全体に均一である。第二に、各ウエハは、反応種の同じ用量を受け、ウエハ全体の均一性が良好になる。第三に、ウエハ付近のガスの滞留時間がガスフローによって制御され得る。ガス滞留時間の制御は、膜堆積がウエハ全体に同時に開始しなければならない極薄堆積に重要である。滞留時間は、また、ガス相反応の程度を決定する。
[0030]ウエハ温度は、また、連続プロセスにおいて異なるプロセスステップで循環される。ポリSiGe/ポリSiスタックの場合、プロセス温度は、ポリSiGeの堆積からポリSiの堆積に転移しつつ約100℃だけ増加させなければならない。温度サイクルは、従来の垂直拡散炉において実施することは難しいが、FlexStarシステムのユニークな構造がこれを可能にする。
[0031]発明による方法300は、図2Aに示される以下のステップを含む。最初に、ステップ301で、1以上の基板がプロセスチャンバ内に配置される。その後、ステップ302で、Si(シリコン含有ソースガス)又はN、Ar、H又はHeのような他のガスで希釈されたSiのフローが短時間チャンバに導入されて、基板表面上でα-Si核形成を開始する。核形成時間は、図1に示されるα-Si薄膜207の堆積を可能にする5分未満でなければならない。核形成が設定された後、ステップ303で、Siのフローが停止され、所望される厚さ(30-50オングストローム)のα-Siが堆積されるまでSiH(シリコン含有ソースガス)又はN、Ar、H又はHeのような他のガスで希釈されたSiHのフローがチャンバに導入される。
[0032]或いは、図2Bに示されるように、発明による方法310は以下のステップを含む。最初に、ステップ311で、1以上の基板がプロセスチャンバ内に配置される。その後、ステップ312で、SiH/Siのガス混合物、又はN、Ar、H又はHeのような他の非反応性ガスで希釈されたSiH/Siが短時間チャンバに導入されて、基板表面上でα-Si核形成を開始する。核生形成時間は、図1に示されるα-Si薄膜207の堆積を可能にする5分未満でなければならない。核形成が設定された後、ステップ313で、Siのフローが停止され、基板の最上部にα-Siの所望される厚さ(30-50オングストローム)が堆積されるまでSiH(又はN、Ar、H又はHeのような他のガスで希釈されたSiH)のフローが続けられる。
[0033]上記の方法を用いて、核形成の遅れをほとんどゼロにすることができ、30-50オングストロームのα-Si膜の制御可能な堆積のために十分に低い堆積速度が得られる。50オングストロームα-Siシード層を堆積させるように変更されたプロセスの処理条件の個々の例を以下に記載する。
実施例1:50オングストロームα-Siシード層を堆積させるプロセス
ステップ1:0.2slmのSi、2.0slmのSiH、0.0slmの希釈剤N、0.4トール、520℃、30秒間。
ステップ2:0.0slmのSi、2.0slmのSiH、0.0slmの希釈剤N、0.4トール、520℃、150秒間。
実施例2:50オングストロームα-Siシード層を堆積させるプロセス
ステップ1:0.15slmのSi、2.3slmの希釈剤N、0.4トール、520℃、30秒間。
ステップ2:2.0slmのSiH、0.0slmの希釈剤N、0.4トール、520℃、60秒間。
[0034]重要なプロセスステップだけが上に記載される。完全なプロセス手順には、堆積前にガスフローとウエハ温度を安定化するステップと、反応後にリアクタから基板を取り出す前に行われるチャンバポンプ/チャージステップとが含まれる。また、ガスシーケンスの概念が広範囲のプロセス条件にわたって適用することができ、α-Siのプロセス条件の個々の選択は主にリアクタ構造に左右される。更に、ポリSiの最も一般的なシリコン含有ソースガスであるので、SiHとSiについて方法を記載してきたが、他のシリコン含有ソースガスを本発明に用いてもよい。
[0035]本発明のプロセスの結果は、膜の低表面粗さによって証明されるように、シード層が薄く連続していることである。従来のプロセスにおいて、本発明者らは100オングストロームα-Siの粗さ(Ra)が約1.3nmであることを実測した。高表面粗さと25nmの高Rmax値は、膜が半連続であることを示している。表面粗さが少なくとも0.3nmまで下がり、Rmaxが4nm未満まで下がり、発明によるプロセスが膜が連続していることを示している。
[0036]α-Siシード層上に堆積されたポリSiGeの表面粗さと結晶構造の確認はポリSiGe膜について改善されたα-Siシード層の効果を評価するために使用し得る。
実施例3:α-Siシード層上にポリSiGeを堆積させるプロセス
2.0slmのSiH、0.12slmのGeH、0.0slmの希釈剤N、0.4トール、520℃、600秒。
GeH:SiHのフロー比は、膜におけるGe含量を純粋なα-Siから約50原子%Geに調整するために変えることができる(図3を参照のこと)。図3は、GeH/SiH比の関数としてポリSiGeのGe含量と堆積速度を示すグラフである。
[0037]オージェ分析は、ポリSiGe堆積プロセスによって、膜へのGe組込みが均一になることを示している(図4)。図4に示されるように、α-Siシード層は酸化物と界面で存在している。Ge含量を推定するために格子間隔を用いるベガードの法則から得られるGe含量は、オージェ分析と充分一致し(即ち、数原子%まで)、Geが置換位置を占めていることが確認される。
[0038]ポリSiGe膜は、また、きわめて等角である(図5を参照のこと)。平行なウエハ処理リアクタのユニークな構造によって、膜堆積が均一になり、1617オングストローム膜について0.7%の厚さの均一性を有する。均一性は、厚さと平均の厚さとの標準偏差を割ることによって定義される。
[0039]ポリSiGeの粗さに対するα-Siシード層の影響は劇的である。シード層がないと、800オングストロームのポリSiGeの表面粗さは約39オングストロームである(図6Aを参照のこと)。対照的に、800オングストロームのポリSiGeが上記の50オングストロームのα-Siシード層上に堆積される場合、ポリSiGe膜の表面粗さは、約21オングストロームに劇的に低下する(図6Bを参照のこと)。平滑で連続する50オングストロームのα-Siシード層上に堆積されるポリSiGe膜もまた、θ‐2θのXRD(X線回折)スキャンで例示されるように充分にわかった結晶構造を有する(図7を参照のこと)。
[0040]ポリSiGe/ポリSiスタックについて、ポリSiGe上に堆積されたポリSiの形態は不十分である。粒度分布は、ゲート誘電体上に直接堆積したポリSiに比べて幅広い。平均粒径もまた、ポリSiGe上のポリSi成長が準へテロエピタキシャルであるのでより大きい。即ち、ポリSi結晶の格子間隔は、下にあるポリSiGeのより大きい格子間隔に従う傾向がある。
[0041]ポリSiGe/ポリSiスタックを形成するための本発明による方法は、ポリSiGeとポリSi層との間にα-Si薄層を挿入するステップを含んでいる。α-Si層は、ポリSi堆積温度でそのアモルファス構造を保持する。α-Si介入層を有するポリSiGe上のポリSiの成長は、ヘテロエピタキシャルではない。ポリSi粒子構造は、膜が熱SiOのようなアモルファス表面上に直接堆積させたときに得られるものとより似ている。
[0042]α-Siが挟まれた層を有するポリSiGe上にポリSiが堆積されるときに得られるより小さなポリSi粒径は、スタックの表面粗さの低下によって確認される。例えば、1000オングストロームのポリSiが500オングストロームのポリSiGe上に直接堆積される場合(α-Siシード層を含まない)、スタックの表面粗さは約58オングストロームである(図8Aを参照のこと)。ポリSiとポリSiGe間に100オングストロームのα-Siが挟まれる場合、表面粗さはおよそ34オングストロームに減少する(図8Bを参照のこと)。比較して、熱SiO上に直接堆積された1500オングストロームのポリSiの表面粗さは約30オングストロームである。
[0043]この界面層に用いられるα-Si堆積条件を以下に記載する。
実施例4:ポリSiGeとポリSi間の界面α-Si層を堆積させるプロセス
100オングストロームのα-Siを堆積させるために、2.0slmのSiH、0.0slmの希釈剤のN、0.4トール、520℃、420秒間。
本実施例において、堆積温度は、α-Siシード層と、ポリSiGeとα-Si界面層が全て、ポリSiの堆積のために温度を上昇させる前と同じ温度で堆積されるようにポリSiGeと同じであるように選ばれる。
[0044]以下のプロセス条件をポリSiの堆積に用いた。
実施例5:ポリSi層を堆積させるプロセス
1000オングストロームのポリSiを堆積させるために、2.0slmのSiH、0.0slmの希釈剤のN、0.4トール、630℃、420秒間。
[0045]α-Si以外の材料を界面層に用いてもよいが、埋込まれたドーパントを活性化するとともに拡散させるために行われる後の熱アニールの間にポリSiに変換されるので、α-Siが最も適している。アニール後、ポリSiGe/ポリSiスタックが生じる。α-Siシード層は、ポリSiGeからGeがα-Siシード層に拡散するので、アニールの間にポリSiGeに変換される。他の利点は、α-SiがポリSiGeと同じ反応種を用いて同じ温度で堆積され得ることである。
[0046]SiGeの他の適用は、半球状粒子シリコン(HSG)の堆積である。半球状粒子シリコンは、DRAMコンデンサのボトム電極スタックに一般に用いられている。平滑なα-Si又はポリSiボトム電極スタックと比べて、HSGSiを組込んでいるスタックは1.5-2.5×大きい表面積を持つ。より大きな表面積はDRAMコンデンサのキャパシタンスを増大する。
[0047]最も単純な手法において、α-SiはSiH又はHe、H、N、又はArで希釈したSiHで0.5〜1.5トールの圧力と560℃〜600℃の温度で堆積される。560℃〜600℃の中間温度で、α-Siの形態が平滑な膜から粗い膜まで変化する。この堆積方法の温度窓は10℃であり、一般的には、狭すぎて製造環境において制御できない。
[0048]上記の堆積方法は、プロセスをより製造可能にするように改良することができる。重要な概念はα-SiをGeでドープし、堆積温度を調節して、半球状粒子成長を達成することである。Geドーピングはアモルファス相の多結晶層へ転移を援助し、それにより温度制御に対する要求が減少する。この転移温度は高Ge含量の場合、580℃から480℃に100℃下げることができる。Geを添加すると、半球状粒子のサイズを大きくさせる粒径が増大する。一般的なゲルマニウム源はGeHである。GeHはSiO未変性酸化物のエッチング剤であり、それにより成長が抑制される。未変性酸化物はHSG-Siの形成を阻止する傾向がある。HSG-SiGeはプロセス統合に必要な場合にはα-Siのドープされていない層でキャップを被せることができる。
[0049]これら要因の全てが、表面積が増大したより大きな半球状粒子に貢献する。Geドーピングは、都合の良いことに、その他の2つのHSG-Si堆積技術と同様に用いられる。潜在的改善の一部を以下に記載する。技術の1つは、Geがドープされたα-Si層を堆積させ、その後、真空アニール又はH又はGeH/Hの雰囲気中でアニールして、HSG-SiGeを形成することである。GeHを用いる場合には、Geの堆積を防止するために十分に希釈しなければならないが、アニール中に成長することができる未変性酸化物をエッチングするのには十分に高くなければならない。未変性酸化物が表面拡散を減少させる傾向があり、HSG膜の形成を阻止する傾向がある。その他の技術は、純粋なシリコン核の代わりにSiGe核でα-Siベース層をシードし、その後、真空アニール又はH又はGeH/Hの雰囲気中でアニールして、HSG-SiGeを形成することである。GeHを用いる場合には、Ge堆積を防止するために十分に希釈しなければならないが、アニール中に成長することができる未変性酸化物をエッチングするのに十分に高くなければならない。未変性酸化物は表面拡散を減少させる傾向があり、HSG膜の形成を阻止する傾向がある。
[0050]Ge添加による表面粗さの増加は図9に見られる。膜中のGe含量が堆積温度を一定に保ちつつ低下するにつれて、膜の表面粗さが約30%未満のGe含量で急激に増加する。約30%未満の臨界Ge含量で、堆積温度と転移温度が同じようになる。このように、堆積温度とGe含量はHSG-SiGe相への転移を制御することができる。この臨界Ge濃度で堆積温度を上昇させる場合には、HSG-SiGe相からポリSiGe相への膜転移につれて表面粗さが低下する。
[0051]SiGeについて更に他の適用は、インサイチュでドープされたポリSiの堆積である。インサイチュでドープされたSi膜は、ゲート電極、ワード線、ビット線、コンデンサ電極、コンデンサプレートとしてメモリデバイスに一般に用いられる。一般的には、これらの膜は、リン、ホウ素又はヒ素で、インサイチュでドープされたα-Siとして堆積される。高温の堆積後炉アニール又は急速熱アニールはドーパントを活性化させるとともに大きな粒子の低抵抗ポリSiへ膜を変換させるために行われる。
[0052]堆積された状態の光ドーピング濃度を必要とする不揮発性メモリの浮動ゲートのようなある種の適用においては、無作為に配向させた粒子による堆積された状態の微粒子ポリSi微細構造が所望される。以前に、Geドーピングがα-SiをポリSi転移温度に下げることを示した。言い換えると、ゲルマニウムドーピングはα-SiGeのポリSiGeへの転移を容易にする。このように、インサイチュでドープされた膜の堆積された状態のポリSi微細構造を得るための新規な一方法は、GeH又は他のゲルマニウム含有ソースガスをポリSiの堆積に用いられるシリコン含有ソースガスに添加することである。幾つかのドーパント、特にホウ素の場合、Geドーピングもまたドーパントの活性化を増大させる。このように、インサイチュでドープされたポリSiGe膜は、通常はインサイチュでドープされたα-Siを生じるプロセス温度で得られる。これらのポリSiGe膜はα-Si又はポリSiでキャップが被せられてもよい。以前に記載されたように、ドープされていない又はインサイチュでドープされたα-Siのシード層はポリSiGe堆積を開始するのに必要なものである。
[0053]インサイチュでドープされた膜の他の適用は、MEMS(マイクロメカニカルシステム)やTFT(薄膜トランジスタ)適用である。サーマルバジェットはMEMS処理にとって深刻な束縛である。典型的には、処理温度はマイクロマシンの部品に対する損傷を避けるために600℃を維持しなければならない。これらのような適用の場合、活性化したドーパントで低温(<600℃)のインサイチュでドープされたポリSi膜が所望される。再び、Geドーピングがそのような膜を得るために用いられる。最初に、インサイチュでドープされたα-SiGeはSiGeのアモルファスから多結晶転移より低い温度で堆積される(典型的には475℃〜550℃)。その後、膜は600℃より低い温度でインサイチュでアニールされて、膜を結晶化すると共にドーパントを活性化する。アニールの間に、アモルファス相から多結晶相に固相再結晶として既知のプロセスによって膜が変換する。Geドーピングは600℃を超える温度から550℃未満にα-Si固相再結晶の温度を低下させる。
[0054]このように、改良されたゲート電極の主な適用として導入されたポリSiGe堆積技術は、有利には、HSGシリコン形成、インサイチュでドープされたポリSi堆積、低温のインサイチュでドープされたポリSiに適用され得る。
[0055]従って、本発明をその種々の実施形態と共に開示してきたが、以下の特許請求の範囲によって決定されるように他の実施形態が本発明の精神と範囲に包含されることは理解されるべきである。
図1は、本発明の実施形態のゲートスタック構造を示す図である。 図2Aは、α-Si薄層を堆積させるプロセス流れを示す図である。 図2Bは、α-Si薄層を堆積させるプロセス流れを示す図である。 図3は、ポリSiGe堆積速度と膜中のGe濃度に対するGeH:SiH流量比の影響を示すグラフである。 図4は、ポリSiGe膜におけるゲルマニウム組込みのオージェ分析結果を示す図である。 図5はポリSiGeの等角堆積を示す図であり、ここで、Ge含量は23原子%である。 図6Aは、熱SiO上に直接堆積した800オングストロームポリSiGeの表面粗さを示す図である。Ge含量は30原子%である。 図6Bは、堆積した50オングストローム介入α-Siシード層において、また、本発明の方法において堆積した800オングストロームポリSiGeの表面粗さを示す図である。Ge含量は30原子%である。 図7は、膜結晶性を示すポリSiGe膜のθ-2θXRDスキャンを示す図である。ゲルマニウム含量は30原子%である。 図8Aは、ポリSiGe上にポリSiを直接堆積する場合の1000オングストロームポリSiGe/500オングストロームポリSiスタックの表面粗さを示す図である。 図8Bは、ポリSiGeとポリSiの界面に100オングストロームのα-Siを含有するスタックの場合の1000オングストロームポリSiGe/500オングストロームポリSiスタックの表面粗さを示す図である。 図9は、ゲルマニウム含量と堆積温度の関数としてのポリSiGeの表面粗さを示すグラフである。
符号の説明
201…シリコン基板、202…ゲート誘電体層、202a…二酸化シリコン層、204…ポリシリコンゲート、205…ソース領域、206…ドレイン領域、207…α-Si層、208…ポリSiGe層、209…α-Si薄層。

Claims (20)

  1. 半導体MOSデバイスのゲートスタックであって、
    前記半導体MOSデバイスの半導体基板上に形成された誘電体膜と、
    該誘電体膜上に形成された第1のα-Si層と、
    該第1のα-Si層上に形成されたポリSiGe層と、
    該ポリSiGe層上に形成された第2のα-Si層と、
    該第2のα-Si層上に形成されたポリSi層と、
    を備えた前記デバイス。
  2. 該誘電体膜が、異なる材料の第1誘電体膜と第2誘電体膜を備えている、請求項1記載のデバイス。
  3. 該第2のα-Si層が該第1のα-Si層より厚い、請求項1記載のデバイス。
  4. 該第1のα-Si層の厚さが約30オングストローム〜約50オングストロームである、請求項3記載のデバイス。
  5. 該ポリSiGe層におけるGe含量が約5原子%〜約40原子%である、請求項3記載のデバイス。
  6. 該ポリSiGe層の厚さが約300オングストローム〜約1000オングストロームである、請求項5記載のデバイス。
  7. 該第2のα-Si層の厚さが約50オングストローム〜約300オングストロームである、請求項3記載のデバイス。
  8. 該ポリSi層の厚さが約300オングストローム〜約1500オングストロームである、請求項3記載のデバイス。
  9. 該誘電体層が、二酸化シリコンと酸窒化物を含んでいる、請求項1記載のデバイス。
  10. 基板上にα-Si層を堆積させる方法であって、
    該基板をチャンバ内に配置するステップと、
    第1のSi含有ソースガスを該チャンバに導入するステップと、
    約50オングストローム未満の厚さのα-Si層が前記基板上に堆積されるまで、第2のSi含有ソースガスを該チャンバに導入するステップと、
    を含む前記方法。
  11. 該第1のSi含有ガスがSiである、請求項10記載の方法。
  12. 該第1のSi含有ガスが非反応性ガスで希釈されている、請求項11記載の方法。
  13. 該非反応性ガスが、N、Ar、H、He、又はそれらの組合わせを含んでいる、請求項12記載の方法。
  14. 該第2のSi含有ガスがSiHである、請求項10記載の方法。
  15. 該第1のSi含有ソースガスと該第2のSi含有ソースガスが、混合物として該チャンバに導入される、請求項10記載の方法。
  16. 該第1のSi含有ソースガスが導入された後、該第2のSi含有ソースガスが所定の時間導入される、請求項10記載の方法。
  17. 基板上にゲートスタックを形成する方法であって、
    該基板の最上部に誘電体薄層を堆積させるステップと、
    該誘電体膜上に第1のα-Si層を堆積させるステップと、
    該第1のα-Si層上にポリSiGe層を堆積させるステップと、
    該ポリSiGe層上に第2のα-Si層を堆積させるステップと、
    該第2のα-Si層上にポリSi層を堆積させるステップと、
    を含む前記方法。
  18. 該第1のα-Si層と、該ポリ-SiGe層と、該第2のα-Si層が同一温度で堆積される、請求項17記載の方法。
  19. 該第1のα-Si層の厚さが約50オングストローム〜約300オングストロームである、請求項18記載の方法。
  20. 該第2のα-Si層の厚さが約30オングストローム〜約50オングストロームである、請求項18記載の方法。
JP2007532373A 2004-09-17 2005-09-07 ポリシリコンゲルマニウムゲートスタック及びその形成方法 Pending JP2008514003A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/943,424 US20060060920A1 (en) 2004-09-17 2004-09-17 Poly-silicon-germanium gate stack and method for forming the same
PCT/US2005/031953 WO2006033838A2 (en) 2004-09-17 2005-09-07 Poly-silicon-germanium gate stack and method for forming the same

Publications (1)

Publication Number Publication Date
JP2008514003A true JP2008514003A (ja) 2008-05-01

Family

ID=36073041

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007532373A Pending JP2008514003A (ja) 2004-09-17 2005-09-07 ポリシリコンゲルマニウムゲートスタック及びその形成方法

Country Status (6)

Country Link
US (2) US20060060920A1 (ja)
EP (1) EP1805802A2 (ja)
JP (1) JP2008514003A (ja)
KR (1) KR20070050493A (ja)
CN (1) CN101027779A (ja)
WO (1) WO2006033838A2 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
KR100618869B1 (ko) * 2004-10-22 2006-09-13 삼성전자주식회사 커패시터를 포함하는 반도체 소자 및 그 제조방법
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7811891B2 (en) * 2006-01-13 2010-10-12 Freescale Semiconductor, Inc. Method to control the gate sidewall profile by graded material composition
US7452777B2 (en) * 2006-01-25 2008-11-18 Fairchild Semiconductor Corporation Self-aligned trench MOSFET structure and method of manufacture
CN101359627B (zh) * 2008-09-12 2010-06-09 西安电子科技大学 一种SiN掩蔽技术制备多晶SiGe栅纳米级CMOS集成电路方法
CN101359630B (zh) * 2008-09-12 2010-06-16 西安电子科技大学 一种SiO2掩蔽技术制备纳米级CMOS集成电路的方法
CN101359629B (zh) * 2008-09-12 2010-06-09 西安电子科技大学 基于SiO2掩蔽技术的多晶SiGe栅纳米级CMOS集成电路制备方法
CN101359628B (zh) * 2008-09-12 2010-06-02 西安电子科技大学 基于SiN/SiO2掩蔽技术的纳米级CMOS集成电路制备方法
CN101359631B (zh) * 2008-09-12 2010-06-09 西安电子科技大学 用微米级工艺制备多晶SiGe栅纳米级CMOS集成电路方法
US20100075499A1 (en) * 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
US7943463B2 (en) * 2009-04-02 2011-05-17 Micron Technology, Inc. Methods of semiconductor processing involving forming doped polysilicon on undoped polysilicon
US8680629B2 (en) 2009-06-03 2014-03-25 International Business Machines Corporation Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
US8274116B2 (en) * 2009-11-16 2012-09-25 International Business Machines Corporation Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
US8691638B2 (en) * 2010-12-10 2014-04-08 Globalfoundries Singapore Pte. Ltd. High-K metal gate device
CN102842503B (zh) 2011-06-20 2015-04-01 中芯国际集成电路制造(北京)有限公司 半导体器件的制造方法
CN104183475B (zh) * 2013-05-21 2016-12-28 中芯国际集成电路制造(上海)有限公司 栅极结构及其形成方法
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003086798A (ja) * 2001-09-13 2003-03-20 Nec Corp 半導体装置およびその製造方法
JP2003318283A (ja) * 2002-04-25 2003-11-07 Samsung Electronics Co Ltd シリコンゲルマニウムゲートを利用した半導体素子及びその製造方法
JP2004015050A (ja) * 2002-06-05 2004-01-15 Samsung Electronics Co Ltd 負の傾斜面を有するゲートを備える半導体素子及びその製造方法
US20040099860A1 (en) * 2002-11-25 2004-05-27 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
JP2004335756A (ja) * 2003-05-08 2004-11-25 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005079310A (ja) * 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005101238A (ja) * 2003-09-24 2005-04-14 Nec Electronics Corp 半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5291030A (en) * 1992-06-04 1994-03-01 Torrex Equipment Corporation Optoelectronic detector for chemical reactions
JP2871530B2 (ja) * 1995-05-10 1999-03-17 日本電気株式会社 半導体装置の製造方法
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6069053A (en) * 1997-02-28 2000-05-30 Micron Technology, Inc. Formation of conductive rugged silicon
US6552594B2 (en) 1997-03-27 2003-04-22 Winbond Electronics, Corp. Output buffer with improved ESD protection
US6235652B1 (en) * 1997-08-11 2001-05-22 Torrex Equipment Corporation High rate silicon dioxide deposition at low pressures
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6780464B2 (en) * 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6287635B1 (en) * 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6162716A (en) * 1999-03-26 2000-12-19 Taiwan Semiconductor Manufacturing Company Amorphous silicon gate with mismatched grain-boundary microstructure
US6373112B1 (en) * 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6514810B1 (en) * 2001-08-01 2003-02-04 Texas Instruments Incorporated Buried channel PMOS transistor in dual gate CMOS with reduced masking steps
US6552583B1 (en) 2001-10-11 2003-04-22 Pericom Semiconductor Corp. ESD-protection device with active R-C coupling to gate of large output transistor
US20040067631A1 (en) * 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
AU2003284272A1 (en) * 2002-10-18 2004-05-04 Applied Materials, Inc. A film stack having a silicon germanium layer and a thin amorphous seed layer

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003086798A (ja) * 2001-09-13 2003-03-20 Nec Corp 半導体装置およびその製造方法
JP2003318283A (ja) * 2002-04-25 2003-11-07 Samsung Electronics Co Ltd シリコンゲルマニウムゲートを利用した半導体素子及びその製造方法
JP2004015050A (ja) * 2002-06-05 2004-01-15 Samsung Electronics Co Ltd 負の傾斜面を有するゲートを備える半導体素子及びその製造方法
US20040099860A1 (en) * 2002-11-25 2004-05-27 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
JP2004335756A (ja) * 2003-05-08 2004-11-25 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005079310A (ja) * 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005101238A (ja) * 2003-09-24 2005-04-14 Nec Electronics Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
US7354848B2 (en) 2008-04-08
US20060060920A1 (en) 2006-03-23
KR20070050493A (ko) 2007-05-15
CN101027779A (zh) 2007-08-29
EP1805802A2 (en) 2007-07-11
US20060231925A1 (en) 2006-10-19
WO2006033838A2 (en) 2006-03-30
WO2006033838A3 (en) 2006-12-21

Similar Documents

Publication Publication Date Title
US7354848B2 (en) Poly-silicon-germanium gate stack and method for forming the same
RU2199168C2 (ru) Способ изготовления конденсатора интегральной схемы, имеющего улучшенные характеристики электродного и диэлектрического слоя (варианты), и конденсатор, изготавливаемый этим способом
US9583559B2 (en) Capacitor having a top compressive polycrystalline plate
JP3083802B2 (ja) UHV−CVDによるSi及びSiGe皮膜中の断続的「デルタ状」ドーピング
US6713359B1 (en) Semiconductor device and method of manufacturing the same including raised source/drain comprising SiGe or SiC
US6048781A (en) Semiconductor processing method for providing large grain polysilicon films
US6905928B2 (en) MOS transistor apparatus and method of manufacturing same
KR20050031455A (ko) 나노결정 형성 방법
US6294442B1 (en) Method for the formation of a polysilicon layer with a controlled, small silicon grain size during semiconductor device fabrication
US5858852A (en) Fabrication process of a stack type semiconductor capacitive element
JP3599290B2 (ja) 半導体装置
JP2003282877A (ja) 異種結晶粒積層ゲートを有する半導体素子及びその製造方法
WO2008115266A2 (en) Growth of metallic nanodots using specific precursors
KR100611108B1 (ko) 박막 형성 방법
JP2003197783A (ja) フラッシュメモリセルの製造方法
WO2018111628A1 (en) Methods for silicide formation
JP2002203809A (ja) 半導体装置及びその製造方法
US20020068407A1 (en) MOS transistor fabrication method
JP2003168647A (ja) 半導体装置の製造方法および半導体装置
KR100680969B1 (ko) 텅스텐실리사이드 박막 형성방법
WO2022227338A1 (zh) 半导体结构的制备方法
KR100650759B1 (ko) 텅스텐실리사이드 박막 형성방법
JP2993665B2 (ja) 配線形成方法
KR20010066393A (ko) 박막 트랜지스터의 제조방법
KR100338818B1 (ko) 반도체장치의 전하저장전극 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080717

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120423

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120501

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120622

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120629

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120717

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120828

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121127

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121204

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130326