KR20210009426A - 패드로서의 tsv - Google Patents

패드로서의 tsv Download PDF

Info

Publication number
KR20210009426A
KR20210009426A KR1020217000800A KR20217000800A KR20210009426A KR 20210009426 A KR20210009426 A KR 20210009426A KR 1020217000800 A KR1020217000800 A KR 1020217000800A KR 20217000800 A KR20217000800 A KR 20217000800A KR 20210009426 A KR20210009426 A KR 20210009426A
Authority
KR
South Korea
Prior art keywords
substrate
electrically conductive
bonding
tsv
conductive
Prior art date
Application number
KR1020217000800A
Other languages
English (en)
Inventor
귈리언 가오
봉섭 이
주니어 가이우스 길맨 파운틴
사이프리안 에메카 유조
벨가셈 하바
로라 윌스 미르카리미
라예쉬 카트카르
Original Assignee
인벤사스 본딩 테크놀로지스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인벤사스 본딩 테크놀로지스 인코포레이티드 filed Critical 인벤사스 본딩 테크놀로지스 인코포레이티드
Publication of KR20210009426A publication Critical patent/KR20210009426A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4824Pads with extended contours, e.g. grid structure, branch structure, finger structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0901Structure
    • H01L2224/0903Bonding areas having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80345Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80359Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

공정 단계들을 포함하는 대표적인 기술과 장치를 사용하여, 결합 표면에서의 금속 팽창으로 인한 결합된 마이크로 전자 기판의 층간 박리의 가능성을 줄일 수 있다. 예컨대, 관통 실리콘 전극(TSV)이 마이크로 전자 기판 중의 적어도 하나를 통해 배치될 수 있다. TSV는 기판의 결합 계면에서 노출되고 직접 결합을 위한 접촉 표면으로서 기능하게 된다.

Description

패드로서의 TSV
본 출원은 2019년 6월 12일에 출원된 미국 비가출원 16/439,360, 및 2018년 6월 13일에 출원된 미국 가출원 62/684,505의 35 U.S.C.§119(e)(1) 하의 이익을 주장하고, 이는 전체적으로 참조로 관련되어 있다.
이하의 설명은 집적 회로("IC")에 관한 것이다. 특히, 이하의 설명은 IC 다이 및 웨이퍼의 제조에 관한 것이다.
마이크로 전자 요소는 종종 비소화 규소 또는 갈륨과 같은 반도체 재료의 얇은 슬라브(slab)(일반적으로 반도체 웨이퍼라고 함)을 포함한다. 웨이퍼는, 웨이퍼의 표면에 있고/있거나 웨이퍼 내부에 부분적으로 매립되는 다수의 집적 칩 또는 다이를 포함하도록 형성될 수 있다. 웨이퍼로부터 분리되는 다이는 일반적으로 개별적인 패키징된 유닛으로서 제공된다. 어떤 패키지 설계에서, 다이는 기판 또는 칩 캐리어에 장착되며, 그 기판 또는 칩 캐리어는 인쇄 회로 기판(PCB)과 같은 회로 패널에 장착된다. 예컨대, 많은 다이들이 표면 장착에 적합한 패키지에 제공된다.
패키징된 반도체 다이는 또한 "적층" 배치로 제공될 수 있는데, 이러한 적층 배치에서 한 패키지는 예컨대 회로 기판 또는 다른 캐리어에 제공되고, 다른 패키지는 제1 패키지 위에 장착된다. 이러한 배치에 의해, 많은 상이한 다이 또는 장치가 회로 기판 상에서 단일 점유 면적 내에 장착될 수 있고, 또한 패키지 사이의 상호 연결부가 짧게 되어 고속 작동이 더 촉진된다. 종종, 이 상호 연결 거리는 다이 자체의 두께 보다 약간만 더 클 수 있다. 다이 패키지의 적층체 내에서 상호 연결을 이루기 위해, 기계적 및 전기적 연결을 위한 상호 연결 구조물이 각 다이 패키지(최상측 패키지는 제외)의 양측(예컨대, 정면)에 제공될 수 있다.
추가적으로, 다이 또는 웨이퍼는 다양한 마이크로 전자 패키징 구성의 일부분으로서 3차원 배치로 적층될 수 있다. 이는 하나 이상의 다이, 장치 및/또는 웨이퍼의 층을 더 큰 베이스 다이, 장치, 웨이퍼, 기판 등에 적층하고 다수의 다이 또는 웨이퍼를 수직 또는 수평 배치 및 이 둘의 다양한 조합으로 적층하는 것을 포함할 수 있다.
다이 또는 웨이퍼는, ZiBond®와 같은 직접적인 유전성 결합 비접착 기술 또는 DBI®와 같은 하이브리드 결합 기술을 사용하여 적층 배치로 결합될 수 있고, 그 두 기술은 Invensas Bonding Technologies,Inc.(이전에는, Ziptronix,Inc.) 및 Xperi 컴파니에서 이용 가능하다. 결합은, 2개의 준비된 표면이 함께 모이면 주변 조건에서 일어나는 자발적인 공정을 포함한다(예컨대, 전체적으로 여기에 관련되어 있는 미국 특허 6,864,585 및 7,485,968 참조).
결합된 다이 또는 웨이퍼의 각각의 짝이룸 표면은 종종 매립된 전도성 상호 연결 구조물(금속일 수 있음) 등을 포함한다. 어떤 예에서, 결합 표면은, 각각의 표면의 전도성 상호 연결 구조물이 결합 중에 결합되도록 배치 및 정렬된다. 결합된 상호 연결 구조물은 적층된 다이 또는 웨이퍼 사이에서 (신호, 전력 등을 위한) 연속적인 전도성 상호 연결부를 형성한다.
적층된 다이 및 웨이퍼 배치를 실현함에 있어 다양한 난관이 있을 수 있다. 직접 결합 또는 하이브리드 결합 기술을 사용하여 그 적층된 다이를 결합할 때, 결합될 다이의 표면은 극히 평평하고 매끄럽고 또한 깨끗한 것이 일반적으로 바람직하다. 예컨대, 일반적으로, 표면은 표면 형태에 있어서 매우 낮은 변동(즉, 나노미터 수준의 변화)을 가져야 하며, 그래서 표면은 밀접히 짝을 이루어 지속적인 결합을 형성할 수 있다.
적층과 결합을 위해 양면 다이가 형성되고 준비될 수 있는데, 이 경우 다이의 양측은 예컨대 다수의 다이-다이 또는 다이-웨이퍼 용례로 다른 기판 또는 다이에 결합될 것이다. 다이의 양측을 준비하는 것은, 유전체 거칠기 사양 및 금속층(예컨대, 구리 등) 리세스(recess) 사양을 만족하도록 양 표면을 마무리하는 것을 포함한다. 예컨대, 결합 표면에 있는 전도성 상호 연결 구조물은 결합 표면의 절연 재료의 바로 아래로 약간 리세싱(recessing)될 수 있다. 결합 표면 아래의 리세스의 양은 장치 또는 용례의 치수 공차, 사양 또는 물리적 제한에 의해 결정될 수 있다. 하이브리드 표면은 화학 기계적 연마(CMP) 공정 등을 사용하여 다른 다이, 웨이퍼 또는 다른 기판과의 결합을 위해 준비될 수 있다.
일반적으로, 유전성 층과 하나 이상의 금속 피쳐(feature)(예컨대, 매립된 전도성 상호 연결 구조물)의 조합을 포함하는 직접 결합 표면이 함께 결합될 때, 유전성 표면은 먼저 낮은 온도에서 결합하고 그 후에 피쳐의 금속이 풀림(annealing) 동안에 가열됨에 따라 팽창하게 된다. 금속의 팽창으로 인해, 양 결합 표면으로부터 금속이 일체화된 전도성 구조물 안으로 결합될 수 있다(금속-금속 결합). 기판과 금속 둘 다가 풀림 동안에 가열될 때, 기판의 열팽창 계수(CTE)에 대한 금속의 열팽창 계수(CTE)에 의해, 일반적으로, 금속이 특정 온도(예컨대, ∼300℃)에서 기판 보다 훨씬 더 많이 팽창하게 된다. 예컨대, 구리의 CTE는 16.7이고, 반면에 용융 실리카의 CTE는 0.55 이며 그리고 규소의 CTE는 2.56이다.
어떤 경우에, 기판에 대한 금속의 더 큰 팽창은 적층된 다이 또는 웨이퍼를 직접 결합하는 데에 문제가 될 수 있다. 금속 패드가 실리콘 관통 전극(TSV) 위에 위치되면, TSV 금속의 팽창은 패드 금속의 팽창에 기여할 수 있다. 어떤 경우에, 팽창하는 금속이 결합 표면 위쪽으로 상승함에 따라, 조합된 금속 팽창은 결합 표면의 국부적인 층간 박리를 야기할 수 있다. 예컨대, 팽창된 금속은 적층된 다이의 결합된 유전성 표면을 분리시킬 수 있다.
접착제가 없는 직접 결합과 같은 결합을 위해 다양한 마이크로 전자 장치를 준비하기 위한 공정 단계를 포함하여 대표적인 기술 및 장치가 개시된다. 다양한 실시 형태에서, 특히, 결합될 하나의 또는 두 장치의 결합 표면에 TSV 또는 TSV 위의 결합 패드가 주어질 때, 금속 팽창으로 인한 층간 박리의 가능성을 줄여주는 기술을 사용할 수 있다. 예컨대, 한 실시 형태에서, TSV는 부분적으로 또는 완전히 장치의 기판을 통해 연장되어 있고 TSV의 적어도 한 단부는 장치의 결합 표면에서 노출된다. 예컨대, TSV의 노출된 단부가 준비되고 장치를 위한 결합 패드 대신에 결합 표면으로 사용된다.
TSV의 재료가 유전체의 재료에 비해 더 연질이기 때문에, CMP와 같은 표면 준비 공정을 사용하여 기판의 결합 표면을 준비할 때, 결합 표면에 있는 TSV의 노출된 금속 단부는 유전체에 대해 리세싱될 수 있다. 더 큰 직경의 TSV는 더 작은 직경의 TSV 보다 더 큰 정도로(예컨대, 더 깊은 리세스로) 리세싱될 수 있다. 이러한 실시 형태에서, TSV의 단부 표면의 리세스는 가열 풀림 동안에 TSV의 금속 팽창을 가능하게 해주고, 이로써, 그렇지 않으면 생길 수 있는 층간 박리가 줄어들거나 없어질 수 있다.
다양한 실행예에서, 예시적인 공정은 제1 결합 표면을 갖는 제1 기판을 통해 전도성 비아를 제공하는 것을 포함한다. 전도성 비아는 제1 결합 표면으로부터 적어도 부분적으로 제1 기판을 통해 연장되어 있다. 본 공정은, 제1 결합 표면의 반대편에 있는 표면에서 전도성 비아를 노출시키고 또한 제2 결합 표면을 형성하는 것을 포함하고, 전도성 비아는 제2 결합 표면에 있거나 그에 대해 리세싱되어 있다.
다양한 실시 형태에서, 본 공정은, 전도성 비아를 선택하고 또한 직접 결합(예컨대, DBI)을 위한 결합 접촉 표면으로서 전도성 비아의 적어도 하나의 단부를 사용함으로써 결합된 마이크로 전자 부품의 층간 박리를 줄이거나 없애는 것을 포함한다.
추가적으로 또는 대안적으로, 제1 기판의 후방측이 또한 결합을 위해 처리될 수 있다. 제1 기판의 후방측이 직접 결합될 때 응력 완화를 제공하기 위해, 미리 선택된 재료의 하나 이상의 절연 층이 제1 기판의 후방측에 증착될 수 있다.
또한, 전도성 비아 및 제1 기판 내부의 다른 전도성 비아를 사용하여, 열을 제1 기판 내부에서 그리고/또는 제1 기판으로부터 멀어지게 안내하거나 전달할 수 있다. 어떤 실행예에서, 열전달 전도성 비아는 부분적으로 또는 완전히 제1 기판의 두께를 통해 연장되어 있을 수 있고, 열전도성 배리어 층을 포함할 수 있다. 이러한 예에서, 통상적으로 전도성 비아 주위에 사용되고 열절연적인 경향이 있는 배리어 층이 대신에 열전도성 층으로 대체될 수 있다. 다양한 실행예에서, 어떤 전도성 비아는 신호 전달 및 열전달에 사용될 수 있다.
일 실시 형태에서, 마이크로 전자 어셈블리는, 전방측과 후방측을 갖는 제1 기판을 포함하고, 후방측은 결합 표면을 가지며, 이 결합 표면은 비전도성 결합층 및 전도성 비아를 포함한다. 제2 기판은 전방측과 후방측을 가지며, 전방측은 비전도성 결합층과 전도성 피쳐를 포함한다. 제2 기판의 전방측은, 전도성 패드가 전도성 피쳐에 접촉하도록 제1 기판의 후방측에 직접 결합된다. 전도성 비아의 노출된 단부는 개재되는 재료 없이 직접적인 금속-금속 결합에 적합한 접촉 표면을 포함한다.
다양한 실행예와 배치를 전기 및 전자 부품과 다양한 캐리어를 참조하여 논의한다. 특정한 부품(즉, 다이, 웨이퍼, 집적 회로(IC) 칩 다이, 기판 등)이 언급되지만, 이는 한정적이지 않고 논의의 용이 및 설명의 편의를 위한 것이다. 웨이퍼, 다이, 기판 등을 참조하여 논의되는 기술과 장치는, 서로 상호 접속하거나 또는 외부 회로, 시스템, 캐리어 등과 상호 접속하도록 연결될 수 있는 임의의 종류 또는 수의 전기 부품, 회로(예컨대, 집적 회로(IC), 혼합 회로, ASICS, 메모리 장치, 프로세서 등), 부품 그룹, 패키징된 부품, 구조물(예컨대, 웨이퍼, 패널, 보드, PCB 등) 등에도 적용 가능하다. 이들 상이한 부품, 회로, 그룹, 패키지, 구조물 등 각각을 총칭적으로 "마이크로 전자 부품" 이라고 말할 수 있다. 단순성을 위해, 달리 명시되어 있지 않다면, 다른 부품에 결합되는 부품을 여기서 "다이"라고 말할 것이다.
이 요약은 완전한 설명을 주기 위한 것은 아니다. 실행예는 복수의 예를 사용하여 아래에서 설명된다. 다양한 실행예 및 예가 여기서 그리고 아래에서 논의되지만, 개별 실행예와 예의 특징과 요소를 조합하여 추가의 실행예와 예도 가능하다.
상세한 설명은 첨부 도면을 참조하여 주어진다. 도면에서, 참조 번호의 가장 좌측의 자릿수(들)는 참조 번호가 가장 먼저 나타나 있는 도를 나타낸다. 서로 다른 도에서 동일한 참조 번호의 사용은 유사하거나 동일한 항목을 나타낸다.
이 논의를 위해, 도면에 도시되어 있는 장치와 시스템은 다수의 부품을 갖는 것으로 나타나 있다. 여기서 설명하는 바와 같은 장치 및/또는 시스템의 다양한 실행예는 더 적은 부품을 포함할 수 있고 또한 본 개시의 범위 내에 유지될 수 있다. 대안적으로, 장치 및/또는 시스템의 다른 실행예는 추가적인 부품 또는 설명되는 부품의 다양한 조합을 포함할 수 있고 또한 본 개시의 범위 내에 유지될 수 있다.
도 1a는 결합 패드와 TSV를 갖는 예시적인 기판의 단면을 나타낸다.
도 1b는 도 1a의 예시적인 기판의 상면도를 나타낸다.
도 2는 결합 패드와 TSV를 갖는 2개의 예시적인 결합된 기판의 단면 및 결과적으로 생긴 예시적인 층간 박리를 나타낸다.
도 3a는 일 실시 형태에 따른, TSV의 적어도 한 단부가 결합 표면이 되는 예시적인 기판의 단면을 나타낸다.
도 3b는 일 실시 형태에 따른, 도 3a의 예시적인 기판의 상면도를 나타낸다.
도 4는 일 실시 형태에 따른, TSV의 적어도 한 단부가 결합 표면이 되는 2개의 예시적인 결합된 기판의 단면을 나타낸다.
도 5는 일 실시 형태에 따른, TSV의 적어도 한 단부가 결합 표면이 되는 2개의 예시적인 기판의 단면을 나타낸다.
도 6은 일 실시 형태에 따른, TSV의 적어도 한 단부가 결합 표면이 되는 2개의 예시적인 기판의 단면을 나타낸 것으로, 결합 표면은 비평탄한 표면을 갖는다.
도 7 ∼ 13은 일 실시 형태에 따른, TSV의 적어도 한 단부가 결합 표면이 되는 예시적인 기판의 단면을 나타낸 것으로, 기판의 예시적인 후방측 공정을 도시한다.
도 14는 다양한 실시 형태에 따른, 다이의 열 관리에 사용되는 예시적인 TSV의 도를 나타낸다.
도 15는 일 실시 형태에 따른, 결합된 기판의 층간 박리를 줄이거나 없애기 위해 마이크로 전자 어셈블리를 형성하는 예시적인 공정을 도시하는 문자 흐름도이다.
개요
도 1a(단면 프로파일도를 나타냄) 및 도 1b(상면도를 나타냄)를 참조하면, 패턴화된 금속 및 산화물 층이 다이, 웨이퍼 또는 다른 기판(이하, "다이(102)" 라고함) 상에 하이브리드 결합(또는 DBI®) 표면 층으로서 빈번히 제공된다. 대표적인 장치 다이(102)는 다양한 기술을 사용하여 형성될 수 있고, 베이스 기판(104) 및 하나 이상의 절연 또는 유전성 층(106)을 포함한다. 베이스 기판(104)은 규소, 게르마늄, 유리, 석영, 유전성 표면, 직접 또는 간접 갭 반도체 재료 또는 층 또는 다른 적절한 재료로 구성될 수 있다. 절연 층(106)은 기판(104) 위에 증착되거나 형성되며, 산화물, 질화물, 산질화물, 산탄화물, 탄화물, 탄질화물, 다이아몬드, 다이아몬드형 재료, 유리, 세라믹, 유리 세라믹 등과 같은 무기 유전성 재료 층으로 구성될 수 있다.
장치 웨이퍼(102)의 결합 표면(108)은, 절연층(106)에 매립되는, 예컨대 접촉 패드(110), 트레이스(112), 및 다른 상호 연결 구조물과 같은 전도성 피쳐(feature)를 포함할 수 있고, 이 피쳐는, 서로 대향하는 장치의 각각의 결합 표면(108)에 있는 전도성 피쳐(110)가 결합 중에 짝을 이루어 결합될 수 있도록 배치된다. 결합된 전도성 피쳐(110)는 적층된 장치 사이에서 (예컨대, 신호, 전력을 위한) 연속적인 전도성 상호 연결부를 형성할 수 있다.
상감(damascene) 공정(등)을 사용하여, 절연층(106)에 매립 전도성 피쳐(110)를 형성할 수 있다. 전도성 피쳐(110)는 금속(예컨대, 구리 등) 또는 다른 전도성 재료 또는 재료의 조합물로 구성될 수 있고, 구조물, 트레이스, 패드, 패턴 등을 포함할 수 있다. 어떤 예에서, 전도성 피쳐(110)의 재료가 증착되기 전에 배리어 층이 그 전도성 피쳐(110)를 위한 공동부에 증착될 수 있으며, 그래서, 그 배리어 층은 전도성 피쳐(110)와 절연층(106) 사이에 배치된다. 전도성 피쳐(110)의 재료가 절연층(106) 안으로 확산되는 것을 방지하거나 줄이기 위해 배리어 층은 예컨대 탄탈륨 또는 다른 전도성 재료로 구성될 수 있다. 전도성 피쳐(110)가 형성된 후에, 절연층(106)과 전도성 피쳐(110)를 포함하여, 장치 웨이퍼(102)의 노출 표면은 (예컨대, CMP를 통해) 평탄화되어 평평한 결합 표면(108)을 형성할 수 있다.
결합 표면(108)의 형성은, 직접 결합을 위한 표면(108)을 준비하기 위해 유전체 거칠기 사양 및 금속층(예컨대, 구리 등) 리세스(recess) 사양을 만족하도록 표면(108)을 마무리하는 것을 포함한다. 다시 말해, 결합 표면(108)은, 매우 최소한의 표면 형태 변동을 가지면서, 평평하고 가능한 한 매끄럽도록 형성된다. 화학 기계적 연마(CMP), 건식 또는 습식 엣칭 등과 같은 다양한 통상적인 공정을 사용하여, 낮은 표면 거칠기를 얻을 수 있다. 이들 공정에 의해, 신뢰성 있는 결합을 있게 하는 평평한 매끄러운 표면(108)이 제공된다
양면 다이(102)의 경우에, 준비된 결합 표면(108)을 갖는 패턴화된 금속 및 절연 층(106)이 다이(102)의 양측에 제공될 수 있다. 절연 층(106)은 전형적으로(일반적으로 nm-수준의 거칠기까지) 아주 평탄하며, 금속 층(예컨대, 매립 전도성 피쳐(110))이 결합 표면(108) 바로 아래에 있거나 리세싱된다. 절연 층(106)의 표면(108) 아래의 리세스의 양은 전형적으로 치수 공차, 사양 또는 물리적 제한에 의해 결정된다. 결합 표면(108)은 종종, 화학 기계적 연마(CMP) 단계 및/또는 다른 준비 단계를 사용하여, 다른 다이, 웨이퍼, 또는 다른 기판과의 직접 결합을 위해 준비된다.
어떤 매립 전도성 피쳐 또는 상호 연결 구조물은, 준비된 표면(108) 아래로 부분적으로 유전성 기판(106) 안으로 연장되어 있는 금속 패드(110) 또는 전도성 트레이스(112)를 포함할 수 있다. 예컨대, 어떤 패턴화된 금속(예컨대, 구리) 피쳐(110 또는 112)는 약 0.5 ∼ 2 미크론의 두께를 가질 수 있다. 이들 피쳐(110 또는 112)의 금속은 풀림(annealing) 동안에 가열됨에 따라 팽창될 수 있다. 다른 전도성 상호 연결 구조물은, 결합 표면(108)에 수직하게 부분적으로 또는 완전히 기판(102)을 통해 연장되어 있고 다량의 금속을 포함하는 금속(예컨대, 구리) 실리콘 관통 전극(TSV)(114) 등을 포함할 수 있다. 예컨대, TSV(114)는 기판(102)의 두께에 따라 약 50 미크론으로 연장되어 있을 수 있다. TSV(114)의 금속은 또한 가열시 팽창될 수 있다. 도 1a에 나타나 있는 바와 같이, 패드(110) 및/또는 트레이스(112)는 TSV(114)에 전기적으로 연결되거나 그렇지 않을 수 있다.
도 2를 참조하면, 다이(102)는, 금속 패드(110), 트레이스(112) 및/또는 TSV(114)를 갖는 다른 다이(102)에 예컨대 접착제 없이 직접 결합될 수 있다. 금속 패드(110)가 TSV(114) 위에 배치되면(TSV(114)와 겹치고 그에 물리적으로 또한전기적으로 연결되어), TSV(114) 금속의 팽창은 패드(110) 금속의 팽창에 기여할 수 있다. 어떤 경우에, 팽창하는 금속이 결합 표면(108) 위쪽으로 상승함에 따라, 조합된 금속 팽창에 의해 TSV(114)(또는 TSV(114)/패드(110) 조합)의 위치에서 결합 표면의 국부적인 층간 박리(202)가 생길 수 있다. 예컨대, 팽창된 금속은 적층된 다이(102)의 결합된 유전성 표면(108)을 분리시킬 수 있다.
예시적인 실시 형태
도 3a ∼ 6을 참조하면, 다양한 실시 형태에서, 금속 팽창으로 인한 층간 박리의 가능성을 줄여주는 기술을 사용할 수 있다. 예컨대, 한 실시 형태에서, 도 3a 및 3b에 나타나 있는 바와 같이, TSV(114)가 다이(102)의 베이스 층(104) 및 하나 이상의 절연층(106)을 통해 적어도 하나의 결합 표면(108)까지 연장되어 있을 수 있다. TSV(114)의 한 단부(302)(또는 양 단부(302))는 다이(102)의 결합 표면(들)(108)에서 노출될 수 있고 직접 결합(예컨대, DBI)을 위한 접촉 표면으로 사용될 수 있다. 다시 말해, TSV의 접촉 표면(302)은 유전성 층(106)을 통해 결합 표면에서 노출될 수 있고, 준비되며(예컨대, 평탄화됨) 그리고 직접 결합 패드 대신에(접촉 패드(110) 대신에) 사용될 수 있다.
도 4를 참조하면, 다양한 실행예에서, 결합 표면으로서 TSV(114)의 단부 표면(302)을 사용하면, 다이(102)가 열 풀림(annealing)되고 TSV(114)의 금속과 접촉 패드(110)가 팽창할 때, 결합된 다이(102)들의 층간 박리를 줄이거나 없앨 수 있다. 실행예에서, TSV(114)의 금속 팽창은 TSV(114)의 부피에 근거하여 고려될 수 있다. 따라서, TSV(114)의 단부 표면(302)에 있는 미리 결정된 리세스("d")(예컨대, 도 5에 나타나 있는 바와 같음)은 TSV(114)의 금속 팽창을 가능하게 하기에 충분할 수 있다.
다양한 실시 형태에서, 직접 결합 접촉 구조물로서 사용되는 TSV(114)는, 다이(102) 내부의 다른 곳에 배치되는 다른 TSV(114) 보다 미리 선택된 양 만큼 더 크거나 작은 직경을 가질 수 있다. 일 실시 형태에서, TSV(114)의 크기는, TSV(114)의 재료의 부피 및 TSV(114)의 재료의 열팽창 계수(CTE)에 근거하여, TSV(114)의 재료가 미리 선택된 온도(∼300°)로 가열될 때 팽창하게 될 양을 추정하고 또한 TSV(114)의 재료가 미리 선택된 온도로 가열될 때 팽창하게 될 양을 예측하여, 선택되거나 형성된다.
도 5를 참조하면, 일 실시 형태에서, 미리 결정된 온도에서의 TSV(114) 재료의 팽창에 근거하여, TSV(114)의 단부(302)를 결합 표면(108)에 대한 미리 결정된 리세스 깊이("d")를 갖도록 리세싱하는 것을 포함하여, TSV(114)의 단부(302)는 유전성 층(106)의 결합 표면(108)과 함께 평탄화된다. 다시 말해, 리세스 깊이는 TSV(114)의 재료의 부피 및 TSV(114)의 재료의 열팽창 계수(CTE)에 근거하여 결정된다.
한 실시 형태에서, TSV(114)의 단부(302)는, (예측된 금속 팽창을 수용하기 위해) 요구되는 리세스 깊이("d")를 제공하도록 선택적으로 엣칭될 수 있다(산성 엣칭, 플라즈마 산화 등을 통해). 다른 예에서, 도 6에 나타나 있는 바와 같이, 대응하는 TSV(114)의 단부(302)는, 팽창 버퍼로서 비평탄한 정상 표면을 가지도록 선택되거나 형성되거나 또는 처리될 수 있다. 예컨대, 도 6을 참조하면, TSV(114)의 단부 표면(302)은, 재료 팽창을 위한 추가 공간(602)이 생길 수 있도록 라운딩되거나 돔형이거나 볼록하거나 오목하거나 불규칙하거나 또는 다른 식으로 평평하지 않게 되도록 형성되거나 선택적으로 엣칭될 수 있다.
추가 공간(602)은, TSV(114)의 재료가 가열될 때 팽창하게 될 양에 근거하여 결정되고 형성될 수 있다. 다양한 실행예에서, TSV(114)의 단부 표면(302)은 증착 동안에 비평탄하게 형성될 수 있고, 또는 TSV(114)의 형성 후에 엣칭되거나 연삭되거나 연마되거나 또는 다른 식으로 비평탄하게 만들어질 수 있다. 어떤 경우에, TSV(114)의 단부 표면(302)은 결합 표면(108)의 CMP 동안에 비평탄하게 만들어질 수 있다.
추가로 또는 대안적으로, 결합 표면(108)에서 TSV(114) 주위에 있는 유전체(106)는 TSV(114)의 금속이 팽창될 수 있도록 형성 또는 성형될 수 있다. 한 예에서, CMP 공정을 사용하여, TSV(114) 주위에서 유전체(106)의 표면(108)을 성형할 수 있고, 또는 다른 실시예에서는 다른 공정이 사용될 수 있으며, 그래서 TSV(114) 주위의 유전체(106)는 금속 팽창을 허용하는 리세스 또는 다른 틈을 포함한다.
일 실시 형태에서, 유전체(106)는, 결합 표면(108)이 준비되고 있는 중에 (예컨대, CMP로) 리세싱될 수 있다. 그 실시 형태에서 TSV(114) 및 유전체(106)는 동시에 리세싱될 수 있다(하지만 상이한 속도로). 예컨대, 공정은 금속 TSV(114)를 리세싱하는 중에 TSV(114)의 가장자리 주위에서 유전체(106)에 침식부를 형성할 수 있다.
다양한 실시 형태에서, TSV(114)는 구리, 구리 합금 등으로 구성된다. 추가 실시 형태에서, TSV(114)의 재료는 금속 팽창 및 가능한 결과적인 층간 박리를 제어하도록 변화될 수 있다. 예컨대, 어떤 실시 형태에서, TSV(114)는 아마도 더 낮은 CTE를 갖는 상이한 전도성 재료로 구성될 수 있다. 어떤 실시 형태에서, TSV(114)는 접촉 패드(110)와는 다른 전도성 재료(더 낮은 CTE를 가짐)로 구성될 수 있다. 예컨대, TSV(114)는 텅스텐, 합금 등으로 구성될 수 있다.
다른 실시 형태에서, TSV(114)의 재료의 부피는 금속 팽창 및 결과적인 층간 박리에 대한 가능성을 제어하도록 변화될 수 있다. 예컨대, 어떤 실시 형태에서는, 층간 박리가 설계 사양 내에서 허용 가능한 경우에, 미리 선택된 재료 부피(예컨대, 더 작은 재료 부피)를 갖는 TSV(114)가 사용될 수 있다. TSV(114)의 부피를 미리 선택하는 것은, TSV(114)의 예상되는 재료 팽창에 근거할 수 있다.
다시 도 4를 참조하면, (예컨대, CMP에 의한) 결합 표면(108)의 준비 후에, 다이(102)는, 금속 패드(110), 트레이스(112) 및/또는 TSV(114)를 갖는 다른 다이(102)에 예컨대 접착제 없이 직접 결합될 수 있다. 서로 대향하는 다이(102)들의 짝이룸 TSV(114)들이 결합하여 단일 전도성 상호 연결부를 형성함에 따라, TSV(114)의 재료는 가열 풀림 동안에 팽창한다. 그러나, TSV(114)의 팽창하는 금속이 TSV(114)의 단부 표면(302)에서 리세스에 의해 제공되는 공간을 초과하지 않기 때문에, 논의된 바와 같이 적절한 미리 결정된 리세스가 제공되면 금속 팽창은 결합 표면의 층간 박리를 야기하지 않는다.
예컨대, TSV(114)의 단부 표면(302)이 충분히 리세싱되어 있으면, TSV(114)의 팽창하는 금속은 적층된 다이(102)의 결합된 유전성 표면(108)을 분리시킴이 없이 리세스(들)를 채운다. CMP와 같은 표면 처리 공정을 사용하여 다이(102)의 결합 표면(108)을 준비할 때, TSV(114)(예컨대, 구리를 포함할 수 있음)가 유전체(106)(예컨대, 산화물을 포함할 수 있음)에 비해 연질이기 때문에, CMP와 같은 표면 준비 공정을 사용하여 다이(102)의 결합 표면(108)을 준비할 때, 결합 표면(108)에서 노출된 TSV(114)는 유전체(106)에 대해 리세싱될 수 있다(의도적으로 또는 비의도적으로).
다양한 실시 형태에서, TSV(114)의 리세싱의 양은, 사용되는 표면 준비 기술(예컨대, 사용되는 화학적 조합, 연마 장비의 속도 등), 유전성 층(106) 및 TSV(114)의 재료, TSV(114)(및 금속 패드(110))의 간격 또는 밀도, 및 TSV(114)의 크기(예컨대, 면적 또는 직경)에 근거하여 예측 가능하다. 그 실시 형태에서, 결합된 다이(102)의 층간 박리를 피하기 위해, TSV(114)의 면적 또는 직경은 TSV(114)의 요구되는 리세스 및 예상되는 금속 팽창에 근거하여 선택될 수 있다(예컨대, 특정한 재료에 대해). 예컨대, 어떤 경우에, 증가된 리세싱이 요구될 때 더 큰 직경의 TSV(114)가 선택될 수 있다. 이러한 기술에 의해, 층간 박리가 줄어들거나 없어질 수 있고, 또한 결합 표면(108)에서 유전체(106)와 금속 구조물(예컨대, TSV(114))의 신뢰할 수 있는 기계적 연결, 및 결합된 금속 구조물의 신뢰할 수 있는 전기적 연속성이 얻어질 수 있다.
추가 실시 형태
도 7 ∼ 13은 다양한 실시 형태에 따른 후방측 다이(102) 처리의 예를 도시한다. 다이(102)가 적층되고 접착제 없이 직접 결합되는 어떤 실행예에서, 후방측(702)이 직접 결합을 위해 준비될 때, 다이(102)의 후방측(702)은 정상측 결합 표면(108)과는 다른 준비를 받을 수 있다. 다이(102)의 후방측(702)에 유전성 층(106)을 형성하는 것 대신에, 후방측(702)은 공정 단계를 줄이고, 제조 비용을 줄이거나 또는 다른 이유로 다르게 준비될 수 있다.
한 실행예에서, 후방측(702)은 TSV(114)가 노출되도록 준비되어, 전도성 패드, 상호 연결부 또는 다른 전도성 결합 표면에의 결합을 위한 접촉 표면(302)으로서 사용된다. 준비는, 얇은 절연 재료 층을 증착하고 또한 TSV(114)가 노출되도록 (예컨대, CMP를 통해) 후방측(702)을 평탄하게 하는 것(절연 재료 및/또는 베이스 기판(104)을 평탄화하는 것을 포함할 수 있음)을 포함할 수 있다. 그러나, 어떤 경우에, 가열 풀림 동안에 TSV(114)의 재료의 팽창에 의해, 절연 재료 및/또는 기판(104)이 손상될 수 있다.
일 실시 형태에서, 도 7 ∼ 13에 나타나 있는 바와 같이, 기판(104) 및 다이(102)에 대한 손상을 방지하거나 없애기 위한 응력 완화부로서 하나 이상의 재료 층이 후방측(702)에 증착될 수 있다. 재료 층은 다이(102)의 후방측(702) 상의 결합 표면으로서 평탄화되거나 다른 식으로 준비될 수 있다.
도 7에 나타나 있는 바와 같이, TSV(114)는 다이(102)의 결합 표면(108)에 대해 횡방향으로 다이(102) 내부에 배치된다. TSV(114)는 처음에 다이(102)의 후방측(702)의 표면을 넘어 연장되어 있을 수 있다. 확산 배리어 및 산화물 라이너(704)가 TSV(114)를 둘러싸고 있어, TSV(114)의 금속(예컨대, 구리)이 베이스 기판(104)의 재료(예컨대, 규소) 안으로 확산되는 것을 방지한다. 일 실시 형태에서, 도 7에 나타나 있는 바와 같이, 다른 확산 배리어(706)가 다이(102)의 후방측(702)의 표면에 증착된다. 일 예에서, 확산 배리어(706)는 질화물 등과 같은 유전체를 포함한다.
다양한 실시 형태에서, TSV(114)의 재료가 팽창할 때 다이(102)에 대한 손상을 방지하기 위해 하나 이상의 절연 층이 다이(102)의 후방측(702) 상에 증착된다. 예컨대, 산화물과 같은 제1 저온 유전체를 포함하는 제1 층(708)이 확산 층(706) 위를 포함하여 후방측(702) 위에 증착될 수 있다. 제1 산화물 층(708)은 저온 산화물 결합 층을 포함할 수 있다. 예컨대, 도 7은 이 경우를 나타내며, TSV(114) 위에서 전방측 결합 표면(108) 상에 형성되는 접촉 패드(110)를 포함한다.
도 8에 나타나 있는 바와 같이, 하나 이상의 절연층(708)을 포함하여 후방측(702)은 (예컨대, CMP를 통해) 평탄화되어, 직접 결합을 위한 평평하고 매끄러운 결합 표면을 형성하게 된다. 나머지 유전성 층(708)은, 다이(102)의 전방측과 균형을 이루면서, 뒤틀림 제어에 도움을 줄 수 있다. TSV(114)의 노출된 접촉 표면(302)을 포함하여, TSV(114)는 평탄화로 노출된다.
특히, 어떤 종류의 저온 산화물(예컨대, 실록스(silox) 등)이 사용될 때, 그 산화물은 덜 강성적일 수 있고 TSV(114)는 평탄화 동안에 더 잘 부서질 수 있다. 일단 평탄화되면, 산화물은 더 안정적이다. 다른 종류의 저온 산화물(예컨대, TEOS 등)이 사용될 때, 산화물은 TSV(114)에 더 양호한 지지를 줄 수 있지만, 산화물은 또한 이완될 수 있어, 결합 표면 보다 더 높은(∼1 - 10nm) 영역을 TSV(114) 주위에 남길 수 있는데, 이는 직접 결합(예컨대, DBI)에 문제를 야기할 수 있다. 이 문제에 대한 해결 방안으로서, 도 7에 나타나 있는 바와 같이, DBI 결합 층(예컨대, 층(708))이 TSV(114) 위에 추가된다.
다이(102)와 유사하거나 동일한 제2 다이(802)가 또한 도 8에서 대시선으로 나타나 있다. 도 8의 도시는 (접착제가 없는) 전면-배면 대향 직접 결합 배치의 일 예를 나타내는데, 여기서 제2 다이(802)는 제2 다이(802)의 전방측(108)에서 제1 다이(102)의 후방측(702)에 결합된다(유전체-유전체). 나타나 있는 바와 같이, 이러한 배치에서, 제1 다이(102)의 후방측(702)에 있는 노출된 TSV(114)의 표면(302)은 제2 다이(802)에 있는 전도성 패드(110)에 결합된다(금속-금속). 대안적인 실시 형태에서, 다이(102, 802)는 전면-전면 대향 또는 배면-배면 대향 방식으로 결합될 수 있다.
일 실시 형태에서, 도 9 및 10에 나타나 있는 바와 같이, 복수의 층이 후방측(702)에 추가되어, TSV(114)에서 금속 팽창 응력을 줄일 수 있고 또한 다이(102)를 위한 후방측(702) 결합 표면을 형성할 수 있다. 도 9에 나타나 있는 바와 같이, 제1 저온 산화물 층(708)(어떤 실행예에서는 결합 층을 또한 포함할 수 있음)의 증착 후에, 제2 유전성 층(902)(저온 산화물을 포함할 수 있음)이 제1 층(708) 위에 증착될 수 있다. 두 산화물 층(708, 902) 사이에는 배리어 또는 접착 층이 필요 없다. 다양한 실행예에서, 제1 층(708) 및 제2 층(902)은 유사하거나 동일한 재료로 구성된다(다른 두께로). 다른 실행예에서, 제1 층(708) 및 제2 층(902)은 다른 재료로 구성된다. 제2 산화물 층(902)은 제1 층(708)과 유사하거나 다른 잔류 응력 특성을 가질 수 있다(예컨대, 제1 층(708)은 압축적일 수 있고 제2 층(902)은 인장적일 수 있으며 또는 그 반대도 가능하고, 또는 양 층(708, 902)이 유사하거나 다른 값을 가지면서 압축적이거나 인장적일 수 있음). 다양한 실행예에서, 추가적인 절연층이 또한 제1 층(708)과 제2 층(902) 위에 증착될 수 있다.
도 10에 나타나 있는 바와 같이, 층(708, 902)은 평탄화되어(예컨대, CMP), TSV(114) 및 단부 표면(302)이 노출되며, 이는 결합 패드의 기능을 대신할 수 있다. 일 실행예에서, 제2 층(902)의 일부분이 뒤틀림 제어를 위해 다이(102)에 남아 있을 수 있다.
어떤 실시 형태에서, 도 11에 나타나 있는 바와 같이, 후방측(702)의 단부 표면(302)은 비평탄한 또는 평평하지 않은 표면 형태를 갖도록 형성될 수 있다. 예컨대, 단부 표면(302)은 팽창 버퍼로서 비평탄한 표면 형태를 갖도록 선택되거나 형성되거나 또는 처리될 수 있다. 예컨대, 도 11을 참조하면, TSV(114)의 단부 표면(302)은, 재료 팽창을 위한 추가 공간(1102)이 생길 수 있도록 라운딩되거나 돔형이거나 볼록하거나 오목하거나 불규칙하거나 또는 다른 식으로 평평하지 않게 되도록 형성되거나 선택적으로 엣칭될 수 있다.
추가 공간(1102)은, TSV(114)의 재료가 가열될 때 팽창하게 될 양의 예측에 근거하여 결정되고 형성될 수 있다. 다양한 실행예에서, TSV(114)의 단부 표면(302)은 증착 동안에 비평탄하게 형성될 수 있고, 또는 TSV(114)의 형성 후에 엣칭되거나 연삭되거나 연마되거나 또는 다른 식으로 비평탄하게 만들어질 수 있다. 어떤 경우에, TSV(114)의 단부 표면(302)은 후방측(702) 결합 표면의 CMP 동안에 비평탄하게 만들어질 수 있다.
도 12 및 13은, 다양한 실시 형태에 따른, 오프셋된 접촉 패드(110)가 전방측(108)에 배치될 때 다이(102)의 후방측(702)을 처리하는 예를 도시한다. 도 12 및 13에 나타나 있는 바와 같이, 오프셋된 접촉 패드(110)는 하나 이상의 트레이스(112) 등을 사용하여 TSV(114)에 연결될 수 있다. 위에서 논의한 바와 같이, 확산 배리어 층(706)을 후방측(702) 위에 증착한 후에, 하나 이상의 산화물 응력 층(예컨대, 층(708))이 후방측(702)에 증착될 수 있다. 응력 층(708)은 후방측(702)에 있는 최종 층인 경우에 직접 결합 층을 또한 포함할 수 있다.
도 13에 나타나 있는 바와 같이, 층(708)이 평탄화되어, 결합 표면이 형성되고 또한 매끄러운 접촉 표면(302)을 갖는 TSV(114)가 노출된다. 대안저인 실시 형태에서, 직접 결합을 준비하기 위해 복수의 응력 층이 후방측(702)에 증착되고 평탄화될 수 있다.
다른 실시 형태에서, 대안적인 기술을 사용하여, 금속 피쳐의 팽창으로 인한 층간 박리를 줄이거나 없앨 수 있고 또한 본 개시의 범위 내에 유지시킬 수 있다.
다양한 실시 형태에서, 도 14에 도시되어 있는 바와 같이, 일 세트의 적층된 다이(102)의 TSV(114) 중의 하나 이상을 사용하여, 전기 신호에 추가로 또는 그 대신에 열을 전달할 수 있다. 예컨대, 어떤 경우에는, 다이(102)에 의해 발생된 열을 줄이기 위해 열싱크(또는 다른 열전달 장치)를 일 세트의 적층된 다이(102)의 다이(102)에 부착하는 것은 실용적이거나 가능하지 않을 수 있다. 이러한 경우에, 요구에 따라 열을 전달하기 위해 다른 기술을 찾을 수 있다.
실시 형태에서, 도 14에 나타나 있는 바와 같이, 부분적으로 또는 완전히 다이(102)를 통해 연장되어 있는 TSV(114)를 포함하여 TSV(114)의 다양한 구성을 사용하여, 열을 다이(102)로부터 멀어지게(또는 다이(102)의 열발생 부분으로부 멀어지게) 전달할 수 있다. 한 다이(102)의 TSV(114)는 제2 다이(102)의 TSV(114), 접촉 패드(110), 트레이스(112) 등과 함께 사용되어, 한 다이(102)로부터 다른 다이(102)로의 열전달 등을 완성할 수 있다. 제1 다이(102)의 TSV(114)는 고성능 열전도성을 위해 제2 다이(102)의 TSV(114), 접촉 패드(110), 트레이스(112) 등에 직접 결합될 수 있다(예컨대, DBI).
일 실행예에서, TSV(114), 접촉 패드(110), 트레이스(112) 등 중의 일부는 전기적으로 부유(floating) 또는 "더미(dummy)"인 구조이며, 이 구조는 열전달에 사용될 수 있다. 이 구조는 요구에 따라 열을 고전력 다이(102)로부터 멀어지게 다른 다이(102) 또는 기판에 전달할 수 있다. 더미 접촉 패드(110)는 열전도를 위해 비아 마지막 또는 비아 중간 열 TSV(114)에 연결될 수 있다.
실시 형태에서, 확산 배리어 층(704)(TSV(114)를 둘러싸고 열적으로 제한적이거나 열 배리어일 수 있음)은, 어느 정도의 열전도성을 갖는 다른 재료의 확산 배리어(예컨대, 금속 또는 합금 배리어 등)로 대체될 수 있다.
공정의 예
도 15는, 결합 표면에 있는 매립된 구조물의 금속 팽창으로 인한 층간 박리의 가능성을 줄이거나 없애면서, 접착제가 없는 직접 결합과 같은 결합을 위해 다양한 마이크로 전자 부품(예컨대, 다이(102))을 준비하기 위한 대표적인 공정(1500)을 도시한다. 예컨대, TSV와 접촉 패드의 재료가 가열 풀림 동안에 팽창함에 따라, 결합 표면에 있는 실리콘 관통 전극(TSV)은 특히 접촉 패드에 연결될 때 층간 박리를 야기할 수 있다. 본 공정은 도 1 ∼ 14를 참조한다.
공정이 설명되는 순서는 한정적인 것으로 해석되어서는 안되고, 공정 내의 설명된 공정 블럭의 수는 공정 또는 대안적인 공정을 실행하기 위해 어떤 순서로도 조합될 수 있다. 추가로, 개별 블럭은, 여기서 설명되는 본 주제의 요지 및 범위에서 벗어남이 없이 공정에서 삭제될 수 있다. 또한, 본 공정은, 여기서 설명되는 본 주제의 요지 및 범위에서 벗어남이 없이, 어떤 적절한 하드웨어, 소프트웨어, 펌웨어 또는 이것들의 조합으로도 실행될 수 있다. 대안적인 실행예에서, 다른 기술들이 다양한 조합으로 본 공정에 포함될 수 있고 본 개시의 범위 내에 유지될 수 있다.
다양한 실행예에서, 다이, 웨이퍼 또는 다른 기판("기판")은, 베이스 기판 및 하나 이상의 유전성 층을 포함하도록 다양한 기술을 사용해 형성된다. 일 실행예에서, 블럭(1502)에서, 공정(1500)은, 제1 결합 표면(예컨대, 결합 표면(108))을 갖는 제1 기판을 통해 전도성 비아(예컨대, TSV(114))를 제공하는 것을 포함하고, 그 전도성 비아는 제1 결합 표면으로부터 적어도 부분적으로 제1 기판을 통해 연장된다. 일 실행예에서, 제1 비아는 제1 결합 표면에 수직하게 적어도 부분적으로 제1 기판을 통해 연장된다. 한 예에서, 제1 비아는 제1 기판을 통해 제1 기판의 한 표면 또는 양 표면까지 연장된다.
블럭(1504)에서, 공정은 제1 결합 표면의 반대편에 있는 표면에서 전도성 비아를 노출시키는 것을 포함한다. 일 실행예에서, 그 공정은 제2 결합 표면 아래로 미리 결정된 깊이로 연장되어 있는 리세스를 전도성 비아의 노출된 단부에 형성하는 것을 포함한다. 예컨대, 리세스는 결합 공정 동안에 전도성 비아의 팽창을 보상한다.
한 예에서, 공정은 전도성 비아와 제2 결합 표면 사이에 경사 틈이 있도록 전도성 비아의 노출된 단부를 형성하는 것을 포함한다. 다양한 예에서, 비평탄한 형태에 의해, 가열 풀림 동안에 비아 금속의 팽창을 위한 공간이 형성된다.
블럭(1506)에서, 공정은 제2 결합 표면을 형성하는 것을 포함하고, 전도성 비아가 그 제2 결합 표면에 있거나 그에 대해 리세싱되어 있다.
일 실행예에서, 공정은 제2 기판을 제공하고 제1 기판의 제2 결합 표면을 개재되는 접착제 없이 제2 기판에 직접 결합시키는 것을 포함한다. 일 실행예에서, 공정은, 제1 기판의 결합 표면에서 직접적인 유전체-유전체 비접착 결합 기술을 사용하여 제1 기판을 제2 기판에 직접 결합하는 것을 포함한다.
일 실행예에서, 제2 기판은 적어도 부분적으로 그 기판을 통해 연장되어 있는 전도성 비아를 더 포함한다. 다른 실행예에서, 제2 기판은 제2 기판의 전도성 비아 위에 있는 패드를 더 포함하고, 이 패드는 제1 기판의 전도성 비아와 접촉한다. 일 실행예에서, 제1 기판의 전도성 비아는 제2 기판의 전도성 비아와 실질적으로 정렬된다.
대안적인 실행예에서, 전도성 비아는 제1 기판으로부터 열을 제거하도록 구성되어 있다.
다양한 실시 형태에서, 어떤 공정 단계는 여기서 설명된 공정 단계와 비교하여 수정되거나 제거될 수 있다.
여기서 설명된 기술, 부품 및 장치는 도 1 ∼ 15의 도시에 한정되지 않고, 본 개시의 범위에서 벗어남이 없이 다른 전기 부픔을 포함하는 다른 설계, 종류, 배치 및 구성에도 적용될 수 있다. 어떤 경우에, 추가적인 또는 대안적인 부품, 기술, 순서 또는 공정을 사용하여 여기서 설명된 기술을 실행할 수 있다. 또한, 부품 및/또는 기술은 유사하거나 대략 동일한 결과를 얻으면서 다양한 조합으로 배치 및/또는 조합될 수 있다.
결론
본 개시의 실행이 구조적 특징 및/또는 방법론적 행위에 특정적인 언어로 설명되었지만, 그 실행은 설명된 특정한 특징 또는 행위에 반드시 한정되는 것은 아님을 이해할 것이다. 오히려, 그 특정한 특징 및 행위는 예시적인 장치 및 기술을 실행하는 대표적인 형태로서 개시된 것이다.

Claims (19)

  1. 마이크로 전자 어셈블리를 형성하는 방법으로서,
    제1 결합 표면을 갖는 제1 기판을 통해 전기 전도성 비아(via)를 제공하는 단계 - 전기 전도성 비아는 상기 제1 결합 표면으로부터 적어도 부분적으로 상기 제1 기판을 통해 연장됨 -;
    상기 제1 결합 표면의 반대편에 있는 표면에서 상기 전기 전도성 비아를 노출시키는 단계; 및
    제2 결합 표면을 형성하는 단계를 포함하고,
    상기 전기 전도성 비아는 상기 제2 결합 표면에 대해 리세싱되어 있는, 마이크로 전자 어셈블리를 형성하는 방법.
  2. 제1항에 있어서,
    결합 표면의 형성은, 비전도성 부분을 형성하고 또한 그 비전도성 표면을 연마하여 상기 전기 전도성 비아의 노출된 단부를 리세싱하는 것을 포함하는, 마이크로 전자 어셈블리를 형성하는 방법.
  3. 제2항에 있어서,
    상기 리세스는 결합 공정 동안에 상기 전도성 비아의 팽창을 보상하는, 마이크로 전자 어셈블리를 형성하는 방법.
  4. 제1항에 있어서,
    제2 기판을 제공하는 단계; 및
    접착제의 개재 없이 상기 제1 기판의 제2 결합 표면을 제2 기판에 직접 결합시키는 단계를 더 포함하는, 마이크로 전자 어셈블리를 형성하는 방법.
  5. 제4항에 있어서,
    상기 제2 기판은 적어도 부분적으로 그 기판을 통해 연장되어 있는 전기 전도성 비아를 더 포함하는, 마이크로 전자 어셈블리를 형성하는 방법.
  6. 제5항에 있어서,
    상기 제2 기판은 상기 전기 전도성 비아 위에 있는 패드를 더 포함하고, 이 패드는 상기 제1 기판의 전기 전도성 비아와 접촉하는, 마이크로 전자 어셈블리를 형성하는 방법.
  7. 제5항에 있어서,
    상기 제1 기판의 전기 전도성 비아는 상기 제2 기판의 전기 전도성 비아와 실질적으로 정렬되는, 마이크로 전자 어셈블리를 형성하는 방법.
  8. 제1항에 있어서,
    상기 전도성 비아와 제2 결합 표면 사이에 경사 틈이 있도록 상기 전도성 비아의 노출된 단부를 형성하는 단계를 더 포함하는, 마이크로 전자 어셈블리를 형성하는 방법.
  9. 마이크로 전자 어셈블리를 형성하는 방법으로서,
    전방측과 후방측을 갖는 제1 기판을 제공하는 단계 - 상기 후방측은 결합 표면을 가지며, 결합 표면은 비전도성 결합층 및 상기 비전도성 결합층으로부터 리세싱되어 있는 노출된 전기 전도성 비아를 포함함 -;
    전방측과 후방측을 갖는 제2 기판을 제공하는 단계 - 상기 전방측은 비전도성 결합층과 노출된 패드를 포함함 -;
    상기 제1 및 제2 기판의 비전도성 결합층들을 서로 접촉시켜 제2 기판의 전방측을 제1 기판의 후방측에 연결하는 단계; 및
    열처리 단계를 통해 상기 노출된 패드를 상기 노출된 전기 전도성 비아에 연결하는 단계를 포함하는, 마이크로 전자 어셈블리를 형성하는 방법.
  10. 제9항에 있어서,
    상기 패드는 연결 전에 상기 제2 기판의 비전도성 결합층 아래로 리세싱되어, 패드와 전기 전도성 비아의 열팽창을 수용하는, 마이크로 전자 어셈블리를 형성하는 방법.
  11. 제9항에 있어서,
    상기 제1 기판의 비전도성 결합층은 확산 배리어 및 이 확산 배리어 상의 절연체를 포함하고, 절연체는 결합 표면으로서 작용하는, 마이크로 전자 어셈블리를 형성하는 방법.
  12. 마이크로 전자 어셈블리를 형성하는 방법으로서,
    비전도성 결합층 및 노출된 전도성 비아를 포함하는 후방측 표면을 갖는 제1 기판을 제공하는 단계;
    비전도성 결합층 및 노출된 전도성 비아를 포함하는 후방측 표면을 갖는 제2 기판을 제공하는 단계;
    상기 제1 및 제2 기판의 비전도성 결합층들을 서로 접촉시켜 제2 기판을 제1 기판에 연결하는 단계; 및
    상기 제1 및 제2 기판의 노출된 전도성 비아들을 서로 연결하는 단계를 포함하는, 마이크로 전자 어셈블리를 형성하는 방법.
  13. 제12항에 있어서,
    상기 전도성 비아를 통해 열을 상기 제1 기판으로부터 제2 기판에 전달하는 단계를 더 포함하는, 마이크로 전자 어셈블리를 형성하는 방법.
  14. 제12항에 있어서,
    상기 비아는 상기 제1 또는 제2 기판에 있는 전기 장치에 또는 그로부터 전기 신호를 전달하도록 구성되어 있는, 마이크로 전자 어셈블리를 형성하는 방법.
  15. 마이크로 전자 어셈블리로서,
    비전도성 결합층 및 전기 전도성 비아를 포함하는 결합 표면을 갖는 제1 기판 - 상기 전기 전도성 비아는 제1 기판으로부터 전기적으로 절연됨 -; 및
    비전도성 결합층 및 전기 전도성 피쳐(feature)를 포함하는 제2 기판을 포함하고,
    상기 전기 전도성 피쳐는 상기 제2 기판 안으로 연장되어 있고 또한 그로부터 전기적으로 절연되어 있으며,
    상기 제2 기판은 상기 전기 전도성 비아가 상기 전기 전도성 피쳐와 접촉하여 신호 경로를 형성하도록 상기 제1 기판에 직접 결합되는, 마이크로 전자 어셈블리.
  16. 제15항에 있어서,
    상기 전기 전도성 피쳐는, 상기 제2 기판 내부에 있는 적어도 하나의 추가 전기 전도성 피쳐에 연결되는 전기 전도성 패드인, 마이크로 전자 어셈블리.
  17. 제15항에 있어서,
    상기 전기 전도성 피쳐는 적어도 부분적으로 상기 제2 기판을 통해 연장되어 있는 전기 전도성 비아인, 마이크로 전자 어셈블리.
  18. 제15항에 있어서,
    상기 전기 전도성 피쳐는 전기 전도성 패드 및 전기 전도성 비아를 포함하고, 전기 전도성 패드는 전기 전도성 비아로부터 오프셋되어 있는, 마이크로 전자 어셈블리.
  19. 제15항에 있어서,
    상기 제1 기판의 후방측에서 하나 이상의 유전성 응력 완화 층을 더 포함하는, 마이크로 전자 어셈블리.
KR1020217000800A 2018-06-13 2019-06-12 패드로서의 tsv KR20210009426A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862684505P 2018-06-13 2018-06-13
US62/684,505 2018-06-13
US16/439,360 2019-06-12
US16/439,360 US11749645B2 (en) 2018-06-13 2019-06-12 TSV as pad
PCT/US2019/036818 WO2019241417A1 (en) 2018-06-13 2019-06-12 Tsv as pad

Publications (1)

Publication Number Publication Date
KR20210009426A true KR20210009426A (ko) 2021-01-26

Family

ID=68840253

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217000800A KR20210009426A (ko) 2018-06-13 2019-06-12 패드로서의 tsv
KR1020217000799A KR102552215B1 (ko) 2018-06-13 2019-06-13 마이크로 전자 어셈블리를 형성하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217000799A KR102552215B1 (ko) 2018-06-13 2019-06-13 마이크로 전자 어셈블리를 형성하는 방법

Country Status (6)

Country Link
US (5) US11749645B2 (ko)
EP (1) EP3807927A4 (ko)
KR (2) KR20210009426A (ko)
CN (3) CN112585740A (ko)
TW (1) TW202002111A (ko)
WO (2) WO2019241417A1 (ko)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
EP3807927A4 (en) * 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10867963B2 (en) * 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11315871B2 (en) * 2019-06-13 2022-04-26 Nanya Technology Corporation Integrated circuit device with bonding structure and method of forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210320075A1 (en) * 2019-07-26 2021-10-14 Sandisk Technologies Llc Bonded assembly containing bonding pads spaced apart by polymer material, and methods of forming the same
US11264343B2 (en) * 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) * 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210265253A1 (en) 2020-02-25 2021-08-26 Tokyo Electron Limited Split substrate interposer with integrated passive device
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11569134B2 (en) * 2020-04-14 2023-01-31 International Business Machines Corporation Wafer backside engineering for wafer stress control
US20210335660A1 (en) 2020-04-24 2021-10-28 Nanya Technology Corporation Semiconductor structure having void between bonded wafers and manufacturing method tehreof
US11735523B2 (en) * 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
KR20210155696A (ko) 2020-06-16 2021-12-23 삼성전자주식회사 인터포저 및 이를 포함하는 반도체 패키지
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
WO2022147429A1 (en) * 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
CN114743942A (zh) * 2021-01-07 2022-07-12 联华电子股份有限公司 混合式接合结构及其制作方法
US20220301981A1 (en) * 2021-03-18 2022-09-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including through substrate via barrier structure and methods for forming the same
US20230011840A1 (en) * 2021-07-09 2023-01-12 Changxin Memory Technologies, Inc. Chip bonding method and semiconductor chip structure
WO2023010259A1 (zh) * 2021-08-02 2023-02-09 华为技术有限公司 芯片堆叠结构及其制作方法、芯片封装结构、电子设备
CN113471083B (zh) * 2021-09-03 2021-11-02 南通汇丰电子科技有限公司 一种半导体堆叠封装结构及其制备方法
US20230136631A1 (en) * 2021-11-04 2023-05-04 Airoha Technology Corp. Semiconductor package using hybrid-type adhesive
TWI780985B (zh) * 2021-11-16 2022-10-11 力晶積成電子製造股份有限公司 半導體結構及其製造方法
US20230343734A1 (en) * 2022-04-25 2023-10-26 Adeia Semiconductor Bonding Technologies Inc. Expansion controlled structure for direct bonding and method of forming same
US20240120312A1 (en) * 2022-10-05 2024-04-11 Tokyo Electron Limited Shifted multi-via connection for hybrid bonding

Family Cites Families (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US4904328A (en) 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
US4784970A (en) 1987-11-18 1988-11-15 Grumman Aerospace Corporation Process for making a double wafer moated signal processor
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2729413B2 (ja) 1991-02-14 1998-03-18 三菱電機株式会社 半導体装置
JP2910334B2 (ja) 1991-07-22 1999-06-23 富士電機株式会社 接合方法
JPH05198739A (ja) 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
US5503704A (en) 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
DE59406156D1 (de) 1993-02-11 1998-07-16 Siemens Ag Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
JP2560625B2 (ja) 1993-10-29 1996-12-04 日本電気株式会社 半導体装置およびその製造方法
EP0651449B1 (en) 1993-11-01 2002-02-13 Matsushita Electric Industrial Co., Ltd. Electronic component and method for producing the same
US5501003A (en) 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
US5442235A (en) 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5413952A (en) 1994-02-02 1995-05-09 Motorola, Inc. Direct wafer bonded structure method of making
JP3294934B2 (ja) 1994-03-11 2002-06-24 キヤノン株式会社 半導体基板の作製方法及び半導体基板
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JPH08125121A (ja) 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JPH08186235A (ja) 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
US5872051A (en) 1995-08-02 1999-02-16 International Business Machines Corporation Process for transferring material to semiconductor chip conductive pads using a transfer substrate
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
ATE204251T1 (de) 1996-05-14 2001-09-15 Degussa Verfahren zur herstellung von trimethylhydrochinon
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US5888631A (en) 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Method for minimizing warp in the production of electronic assemblies
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
US6333206B1 (en) 1996-12-24 2001-12-25 Nitto Denko Corporation Process for the production of semiconductor device
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JPH10223636A (ja) 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
JP4026882B2 (ja) 1997-02-24 2007-12-26 三洋電機株式会社 半導体装置
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6147000A (en) 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6316786B1 (en) 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US6515343B1 (en) 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6409904B1 (en) 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US6123825A (en) 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
JP3918350B2 (ja) 1999-03-05 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
US6348709B1 (en) 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
JP2000311982A (ja) 1999-04-26 2000-11-07 Toshiba Corp 半導体装置と半導体モジュールおよびそれらの製造方法
US6258625B1 (en) 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
JP3619395B2 (ja) 1999-07-30 2005-02-09 京セラ株式会社 半導体素子内蔵配線基板およびその製造方法
US6756253B1 (en) 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
US6593645B2 (en) 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6333120B1 (en) 1999-10-27 2001-12-25 International Business Machines Corporation Method for controlling the texture and microstructure of plated copper and plated structure
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
AU2001247109A1 (en) 2000-04-27 2001-11-12 Nutool, Inc. Conductive structure for use in multi-level metallization and process
JP4123682B2 (ja) 2000-05-16 2008-07-23 セイコーエプソン株式会社 半導体装置及びその製造方法
US6326698B1 (en) 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
WO2002009478A1 (fr) 2000-07-24 2002-01-31 Tdk Corporation Dispositif luminescent
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6483044B1 (en) 2000-08-23 2002-11-19 Micron Technology, Inc. Interconnecting substrates for electrical coupling of microelectronic components
US6583460B1 (en) 2000-08-29 2003-06-24 Micron Technology, Inc. Method of forming a metal to polysilicon contact in oxygen environment
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP3705159B2 (ja) 2001-06-11 2005-10-12 株式会社デンソー 半導体装置の製造方法
DE10131627B4 (de) 2001-06-29 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichereinrichtung
JP2003023071A (ja) 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
US6847527B2 (en) 2001-08-24 2005-01-25 3M Innovative Properties Company Interconnect module with reduced power distribution impedance
US6555917B1 (en) 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6624003B1 (en) 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6720212B2 (en) 2002-03-14 2004-04-13 Infineon Technologies Ag Method of eliminating back-end rerouting in ball grid array packaging
US6627814B1 (en) 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP3918935B2 (ja) 2002-12-20 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
JP3981026B2 (ja) 2003-01-30 2007-09-26 株式会社東芝 多層配線層を有する半導体装置およびその製造方法
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
DE10319538B4 (de) 2003-04-30 2008-01-17 Qimonda Ag Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP2005086089A (ja) 2003-09-10 2005-03-31 Seiko Epson Corp 3次元デバイスの製造方法
JP2005093486A (ja) 2003-09-12 2005-04-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法
US8026128B2 (en) 2004-11-10 2011-09-27 Stats Chippac, Ltd. Semiconductor device and method of self-confinement of conductive bump material during reflow without solder mask
US6927498B2 (en) 2003-11-19 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad for flip chip package
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
KR100618855B1 (ko) 2004-08-02 2006-09-01 삼성전자주식회사 금속 콘택 구조체 형성방법 및 이를 이용한 상변화 메모리제조방법
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7998335B2 (en) 2005-06-13 2011-08-16 Cabot Microelectronics Corporation Controlled electrochemical polishing method
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US20070145367A1 (en) 2005-12-27 2007-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure
US7348648B2 (en) 2006-03-13 2008-03-25 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7385283B2 (en) * 2006-06-27 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100825648B1 (ko) 2006-11-29 2008-04-25 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US9343330B2 (en) 2006-12-06 2016-05-17 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US7812459B2 (en) 2006-12-19 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuits with protection layers
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8134235B2 (en) 2007-04-23 2012-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional semiconductor device
US8378357B2 (en) * 2007-08-29 2013-02-19 Sp3, Inc. Multilayered structures and methods of making multilayered structures
KR101494591B1 (ko) 2007-10-30 2015-02-23 삼성전자주식회사 칩 적층 패키지
US8435421B2 (en) 2007-11-27 2013-05-07 Cabot Microelectronics Corporation Metal-passivating CMP compositions and methods
DE102008007001B4 (de) 2008-01-31 2016-09-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
US20090200668A1 (en) 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US8349721B2 (en) 2008-03-19 2013-01-08 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer on conductive traces for electrical isolation in fine pitch bonding
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8344503B2 (en) 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
US7825024B2 (en) * 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
IT1392793B1 (it) 2008-12-30 2012-03-23 St Microelectronics Srl Condensatore integrato con piatto a spessore non-uniforme
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
KR101049083B1 (ko) 2009-04-10 2011-07-15 (주)실리콘화일 3차원 구조를 갖는 이미지 센서의 단위 화소 및 그 제조방법
CN202758883U (zh) 2009-05-26 2013-02-27 拉姆伯斯公司 堆叠的半导体器件组件
US8101517B2 (en) 2009-09-29 2012-01-24 Infineon Technologies Ag Semiconductor device and method for making same
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
EP2544225A4 (en) 2010-03-01 2018-07-25 Osaka University Semiconductor device and bonding material for semiconductor device
US9018768B2 (en) 2010-06-28 2015-04-28 Samsung Electronics Co., Ltd. Integrated circuit having through silicon via structure with minimized deterioration
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
CN104011848A (zh) 2010-07-30 2014-08-27 昆山智拓达电子科技有限公司 一种硅通孔互连结构及其制造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8637968B2 (en) * 2010-12-02 2014-01-28 Tessera, Inc. Stacked microelectronic assembly having interposer connecting active chips
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US20120168935A1 (en) 2011-01-03 2012-07-05 Nanya Technology Corp. Integrated circuit device and method for preparing the same
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
JP2012174988A (ja) 2011-02-23 2012-09-10 Sony Corp 接合電極、接合電極の製造方法、半導体装置、及び、半導体装置の製造方法
KR101780423B1 (ko) 2011-03-18 2017-09-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
TWI467695B (zh) 2011-03-24 2015-01-01 Sony Corp 半導體裝置及其製造方法
JP6149277B2 (ja) 2011-03-30 2017-06-21 ボンドテック株式会社 電子部品実装方法、電子部品実装システムおよび基板
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP2717300B1 (en) 2011-05-24 2020-03-18 Sony Corporation Semiconductor device
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
JP6031765B2 (ja) 2011-07-05 2016-11-24 ソニー株式会社 半導体装置、電子機器、及び、半導体装置の製造方法
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US8692246B2 (en) 2011-09-15 2014-04-08 International Business Machines Corporation Leakage measurement structure having through silicon vias
US8742591B2 (en) * 2011-12-21 2014-06-03 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer in notches around conductive TSV for stress relief
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US20130256913A1 (en) 2012-03-30 2013-10-03 Bryan Black Die stacking with coupled electrical interconnects to align proximity interconnects
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
JP2013243333A (ja) 2012-04-24 2013-12-05 Tadatomo Suga チップオンウエハ接合方法及び接合装置並びにチップとウエハとを含む構造体
US9412725B2 (en) 2012-04-27 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8772946B2 (en) * 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140175614A1 (en) 2012-12-20 2014-06-26 Industrial Technology Research Institute Wafer stacking structure and method of manufacturing the same
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US9368438B2 (en) 2012-12-28 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package (PoP) bonding structures
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
US9082644B2 (en) 2013-01-18 2015-07-14 Infineon Technologies Ag Method of manufacturing and testing a chip package
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
CN104769714B (zh) 2013-02-26 2018-10-26 晟碟信息科技(上海)有限公司 包括交替形成台阶的半导体裸芯堆叠的半导体器件
US9331032B2 (en) 2013-03-06 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding and apparatus for performing the same
US9105485B2 (en) 2013-03-08 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods of forming the same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9040385B2 (en) 2013-07-24 2015-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
JP6330151B2 (ja) 2013-09-17 2018-05-30 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
JP6212720B2 (ja) 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
FR3011679B1 (fr) 2013-10-03 2017-01-27 Commissariat Energie Atomique Procede ameliore d'assemblage par collage direct entre deux elements, chaque element comprenant des portions de metal et de materiaux dielectriques
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
KR102104061B1 (ko) 2013-11-15 2020-04-23 삼성전자 주식회사 금속 패턴 및 압전 패턴을 포함하는 반도체 소자
US9059333B1 (en) 2013-12-04 2015-06-16 International Business Machines Corporation Facilitating chip dicing for metal-metal bonding and hybrid wafer bonding
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
TWI538156B (zh) 2014-01-07 2016-06-11 甯樹樑 晶片間無微接觸點之晶圓級晶片堆疊結構及其製造方法
US9865523B2 (en) 2014-01-17 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Robust through-silicon-via structure
US9343433B2 (en) 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9425155B2 (en) 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9391109B2 (en) 2014-03-28 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Uniform-size bonding patterns
US9343369B2 (en) 2014-05-19 2016-05-17 Qualcomm Incorporated Three dimensional (3D) integrated circuits (ICs) (3DICs) and related systems
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
KR102274775B1 (ko) 2014-11-13 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
JP6766808B2 (ja) 2015-05-18 2020-10-14 ソニー株式会社 半導体装置および撮像装置
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) * 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
CN105140144A (zh) 2015-09-02 2015-12-09 武汉新芯集成电路制造有限公司 一种介质加压热退火混合键合方法
KR102468773B1 (ko) * 2015-10-19 2022-11-22 삼성전자주식회사 반도체 소자
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9893028B2 (en) 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) * 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
WO2017155002A1 (ja) 2016-03-11 2017-09-14 ボンドテック株式会社 基板接合方法
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10354975B2 (en) * 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
JP2018064758A (ja) 2016-10-19 2018-04-26 ソニーセミコンダクタソリューションズ株式会社 半導体装置、製造方法、および電子機器
CN106571334B (zh) 2016-10-26 2020-11-10 上海集成电路研发中心有限公司 一种硅片间的混合键合方法
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
CN106653720A (zh) 2016-12-30 2017-05-10 武汉新芯集成电路制造有限公司 一种混合键合结构及混合键合方法
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
CN106920797B (zh) 2017-03-08 2018-10-12 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法
CN106920795B (zh) 2017-03-08 2019-03-12 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
JP2018163970A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体装置及びその製造方法
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10312275B2 (en) 2017-04-25 2019-06-04 Semiconductor Components Industries, Llc Single-photon avalanche diode image sensor with photon counting and time-of-flight detection capabilities
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
CN107665829B (zh) 2017-08-24 2019-12-17 长江存储科技有限责任公司 晶圆混合键合中提高金属引线制程安全性的方法
CN107731668B (zh) 2017-08-31 2018-11-13 长江存储科技有限责任公司 3d nand混合键合工艺中补偿晶圆应力的方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
CN107993927A (zh) 2017-11-20 2018-05-04 长江存储科技有限责任公司 提高晶圆混合键合强度的方法
CN107993928B (zh) 2017-11-20 2020-05-12 长江存储科技有限责任公司 一种抑制晶圆混合键合中铜电迁移的方法
US11152417B2 (en) 2017-11-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Anchor structures and methods for uniform wafer planarization and bonding
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
JP6967980B2 (ja) 2018-01-23 2021-11-17 東京エレクトロン株式会社 接合方法、および接合装置
TWI823598B (zh) 2018-01-23 2023-11-21 日商東京威力科創股份有限公司 接合系統及接合方法
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10937755B2 (en) 2018-06-29 2021-03-02 Advanced Micro Devices, Inc. Bond pads for low temperature hybrid bonding
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
WO2020034063A1 (en) 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN111211133B (zh) 2018-09-10 2021-03-30 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件
CN109417077B (zh) 2018-09-10 2019-10-18 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件
CN111415941B (zh) 2018-09-20 2021-07-30 长江存储科技有限责任公司 多堆叠层三维存储器件
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR102482697B1 (ko) 2018-11-30 2022-12-28 양쯔 메모리 테크놀로지스 씨오., 엘티디. 본딩된 메모리 장치 및 그 제조 방법
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN109844915A (zh) 2019-01-02 2019-06-04 长江存储科技有限责任公司 用于晶圆键合的等离子体活化处理
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN116635998A (zh) 2020-10-29 2023-08-22 美商艾德亚半导体接合科技有限公司 直接键合方法和结构
KR20230097121A (ko) 2020-10-29 2023-06-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 방법 및 구조체
CN116762163A (zh) 2020-12-28 2023-09-15 美商艾德亚半导体接合科技有限公司 具有贯穿衬底过孔的结构及其形成方法
WO2022147429A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
JP2024501559A (ja) 2020-12-30 2024-01-12 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 導電特徴部を備えた構造体及びその形成方法
KR20230128062A (ko) 2020-12-30 2023-09-01 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 구조
WO2022187402A1 (en) 2021-03-03 2022-09-09 Invensas Bonding Technologies, Inc. Contact structures for direct bonding
WO2022212594A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
CN117296132A (zh) 2021-03-31 2023-12-26 美商艾德亚半导体接合科技有限公司 载体的直接接合和去接合
EP4315411A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding methods and structures
WO2023278605A1 (en) 2021-06-30 2023-01-05 Invensas Bonding Technologies, Inc. Element with routing structure in bonding layer
CN117859202A (zh) 2021-07-16 2024-04-09 美商艾德亚半导体接合科技有限公司 用于接合结构的光学阻塞保护元件
US20230036441A1 (en) 2021-08-02 2023-02-02 Invensas Bonding Technologies, Inc. Protective semiconductor elements for bonded structures
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding
KR20240052815A (ko) 2021-09-01 2024-04-23 아데이아 세미컨덕터 테크놀로지스 엘엘씨 인터포저를 갖는 적층 구조체
KR20240059637A (ko) 2021-09-24 2024-05-07 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 능동 인터포저를 가진 결합 구조체

Also Published As

Publication number Publication date
TW202002111A (zh) 2020-01-01
WO2019241561A1 (en) 2019-12-19
US20210257341A1 (en) 2021-08-19
US20240006383A1 (en) 2024-01-04
EP3807927A4 (en) 2022-02-23
CN112470270A (zh) 2021-03-09
CN112585740A (zh) 2021-03-30
US11728313B2 (en) 2023-08-15
US10998292B2 (en) 2021-05-04
WO2019241417A1 (en) 2019-12-19
KR20210008918A (ko) 2021-01-25
CN112470270B (zh) 2022-06-03
KR102552215B1 (ko) 2023-07-05
US20240088101A1 (en) 2024-03-14
US20190385935A1 (en) 2019-12-19
CN114899166A (zh) 2022-08-12
US20190385982A1 (en) 2019-12-19
EP3807927A1 (en) 2021-04-21
US11749645B2 (en) 2023-09-05

Similar Documents

Publication Publication Date Title
KR20210009426A (ko) 패드로서의 tsv
US11955445B2 (en) Metal pads over TSV
US10790262B2 (en) Low temperature bonded structures
US20240145458A1 (en) Stacked dies and methods for forming bonded structures
US20200168584A1 (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US11244916B2 (en) Low temperature bonded structures
US9899260B2 (en) Method for fabricating a semiconductor device

Legal Events

Date Code Title Description
E902 Notification of reason for refusal