US20180182665A1 - Processed Substrate - Google Patents

Processed Substrate Download PDF

Info

Publication number
US20180182665A1
US20180182665A1 US15/845,831 US201715845831A US2018182665A1 US 20180182665 A1 US20180182665 A1 US 20180182665A1 US 201715845831 A US201715845831 A US 201715845831A US 2018182665 A1 US2018182665 A1 US 2018182665A1
Authority
US
United States
Prior art keywords
substrate
formulary
conductive material
selective etchant
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/845,831
Inventor
Cyprian Emeka Uzoh
Laura Wills Mirkarimi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeia Semiconductor Bonding Technologies Inc
Original Assignee
Invensas Bonding Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Invensas Bonding Technologies Inc filed Critical Invensas Bonding Technologies Inc
Priority to US15/845,831 priority Critical patent/US20180182665A1/en
Assigned to INVENSAS BONDING TECHNOLOGIES, INC. reassignment INVENSAS BONDING TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MIRKARIMI, LAURA WILLS, UZOH, CYPRIAN EMEKA
Publication of US20180182665A1 publication Critical patent/US20180182665A1/en
Assigned to BANK OF AMERICA, N.A. reassignment BANK OF AMERICA, N.A. SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DTS, INC., IBIQUITY DIGITAL CORPORATION, INVENSAS BONDING TECHNOLOGIES, INC., INVENSAS CORPORATION, PHORUS, INC., ROVI GUIDES, INC., ROVI SOLUTIONS CORPORATION, ROVI TECHNOLOGIES CORPORATION, TESSERA ADVANCED TECHNOLOGIES, INC., TESSERA, INC., TIVO SOLUTIONS INC., VEVEO, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00095Interconnects
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05557Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/0807Shape of bonding interfaces, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0905Shape
    • H01L2224/09051Bonding areas having different shapes
    • H01L2224/09055Bonding areas having different shapes of their bonding interfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80031Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06506Wire or wire-like electrical connections between devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1433Application-specific integrated circuit [ASIC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory

Definitions

  • the following description relates to processing of integrated circuits (“ICs”). More particularly, the following description relates to techniques for processing substrates.
  • the conductive wiring structures or interconnect structures are essentially a network of conducting materials, typically metals, in a matrix of dielectric materials. Conductive pads or terminals are coupled to the network of conducting materials, and are available at one or more locations at a surface of the substrate.
  • damascene structures Multiple types are known, however single and dual damascene processes are the most common.
  • each metal or via layer is fabricated in a series of operations, while in a dual damascene process, a metal layer and a via layer are fabricated in a similar operation.
  • the dual damascene technique is often preferred because of lower cost and higher device performance.
  • the damascene process is repeated to form the many layers of interconnect.
  • the substrate surface is polished after the final layer has been deposited.
  • the polishing process often produces erosion in high metal pattern density features and dishing in large metal structures.
  • the higher the metal pattern density the higher the erosion in the dielectric layer of the substrate surface.
  • the larger the size of the metal cavity the worse the gravity of the dishing defects.
  • substrate surface dishing is poor flatness of the surface of the surface of the substrate and its interconnects. This can cause much higher pressures to be needed for bonding devices together or for wafer to wafer bonding, using so called hybrid bonding techniques.
  • dies and/or wafers may be bonded in a stacked arrangement using various bonding techniques, including direct bonding, non-adhesive techniques such as a ZiBond® technique or a hybrid bonding technique, also known as DBI®, both available from Ziptronix, Inc., a Xperi company (see for example, U.S. Pat. Nos. 6,864,585 and 7,485,968, which are incorporated herein in their entirety).
  • These bonding techniques, and other similar techniques require extremely flat bonding surfaces for the most reliable and the best performing bonds.
  • One method used to improve wafer to wafer bonding is to selectively recess the dielectric layer, so that the copper structures are protruding above the insulator surface prior to the bonding operation. This operation adds additional cost to the technology and is a source of defect when not properly implemented. Also, the poor flatness on the conductor surface often produces defective bonds, when the said surface is bonded or attached to other devices or substrates.
  • devices and systems illustrated in the figures are shown as having a multiplicity of components.
  • Various implementations of devices and/or systems, as described herein, may include fewer components and remain within the scope of the disclosure.
  • other implementations of devices and/or systems may include additional components, or various combinations of the described components, and remain within the scope of the disclosure.
  • FIG. 1 is a schematically illustrated block diagram illustrating an example substrate processing sequence and the resulting dishing of the substrate.
  • FIG. 2 is a schematically illustrated block diagram illustrating an example substrate processing and repair process, according to an embodiment.
  • FIG. 3 is a schematically illustrated block diagram illustrating an example substrate processing and repair process, according to a second embodiment.
  • FIG. 4 is a schematically illustrated block diagram illustrating an example substrate processing and repair process, according to a third embodiment.
  • inventions for repairing processed semiconductor substrates, and associated devices, are disclosed.
  • the embodiments comprise methods to remedy the erosion or “dishing” resulting from chemical mechanical polishing/planarizing (CMP) of the substrates, and particularly at locations where there is a higher density of metallic structures embedded within the substrates.
  • CMP chemical mechanical polishing/planarizing
  • unique formularies are used to carry out the described methods and techniques.
  • the substrate has a barrier layer disposed in one or more cavities of the substrate and a conductive material disposed in the one or more cavities, forming one or more conductive interconnect structures.
  • An example process includes dry etching the surface of the substrate, including the barrier layer, until a preselected portion of the conductive material protrudes from the cavities above the surface of the substrate.
  • a first selective etchant (a wet etchant) may also be applied to etch the surface of the substrate, forming a smooth flat surface, without damaging the metallic interconnect structures.
  • the example process includes selectively wet etching the conductive material protruding from the cavities, including applying a second selective etchant to the conductive material for a preselected period of time or until an end point of the conductive material has a preselected height relative to the surface of the substrate.
  • the process includes selectively wet etching the conductive material until the end point of the conductive material is approximately level with the surface of the substrate or recessed a preselected amount within the one or more cavities below the surface of the substrate.
  • the second selective etchant is formulated to remove the conductive material, without roughening the smooth surface of the substrate.
  • the process includes building up the “dished” surface of the substrate by filling the defects with a dielectric material and coating the surface of the substrate with a layer of the dielectric material to form a planarizing layer.
  • the process includes leaving at least a portion of the barrier layer intact during dry etching, applying a dielectric material to the barrier layer to form a planarizing layer, and dry etching the planarizing layer and the at least a portion of the barrier layer until the end point of the conductive material has the preselected height relative to the surface of the substrate.
  • the dry etching is performed using a high selectivity copper slurry, leaving at least a portion of the barrier layer intact, and dry etching at least a portion of the barrier layer and the surface of the substrate until the end point of the conductive material has the preselected height relative to the surface of the substrate.
  • the first selective etchant comprises a source of fluoride ions, one or more organic acids, glycerol, and a complexing agent, where a content of the source of fluoride ions is less than 2% of the formulary, a content of the one or more organic acids is less than 2% of the formulary, and a content of the glycerol is less than 10% of the formulary.
  • the second selective etchant comprises one or more oxidizing agents, one or more organic acids, and glycerol, where the one or more oxidizing agents and the one or more organic acids are each less than 2% of formulary.
  • the first selective etchant and the second selective etchant include a common formulary.
  • combining the common formulary with one or more additives at preselected process stages allows for the etching of dielectric (e.g., the first selective etchant) or the etching of metals (e.g., the second selective etchant) as appropriate for the process stage.
  • microelectronic element Each of these different components, circuits, groups, packages, structures, and the like, can be generically referred to as a “microelectronic element.” For simplicity, such components will also be referred to herein as a “die” or a “substrate.”
  • a schematically illustrated block diagram 100 is shown at FIG. 1 , illustrating an example substrate processing sequence and the resulting dishing 112 of the substrate 102 .
  • a substrate 102 may include wafers, such as GaAs, diamond coated substrates, silicon carbide, silicon wafers, flat panels, glasses, ceramics, circuit boards, packages, an interposer, structures with or without an embedded device or devices, etc.
  • the substrate 102 comprises a surface of interest processed for intimate contact with another surface.
  • a semiconductor substrate 102 is coupled to a carrier 104 .
  • the carrier 104 may comprise a semiconductor device, a backend of the line routing layer, an RDL layer or typically a wiring structure.
  • Cavities or trenches are formed in the substrate 102 for forming conductive interconnect structures 106 , or the like.
  • the said cavities may be a blind cavity in which the bottom surface of the cavities contacts the wiring features beneath.
  • the cavities are etched in a dielectric material with or without wiring features beneath the cavity.
  • a barrier metal layer 108 is applied to the substrate 102 surface, to prevent diffusion into the semiconductor substrate 102 .
  • a damascene process may be used to fill the cavities in the substrate 102 with a conductive material (such as copper, for example), to form the interconnect structures 106 , vias, trenches, combinations of vias and trenches, or the like.
  • the metal filling step process commonly leaves an overfill 110 of the conductive material on the surface of the substrate 102 and barrier layer 108 .
  • the conductive overfill 110 is removed (here, to the barrier layer 108 ), by chemical mechanical polishing (CMP), for example.
  • CMP chemical mechanical polishing
  • the CMP process is further used to remove the metallic barrier layer 108 .
  • CMP polishing the substrate 102 can result in dielectric erosion and dishing 112 at the location of the interconnect structures 106 .
  • the erosion 112 may be greater than 20 nm in depth for damascene cavities less than 1 micron in depth.
  • the illustrations at blocks (D), (E), and (F) show examples of substrate bonding using a direct bond interconnect (DBI) technique, i.e. directly bonding the surfaces of the semiconductor substrates without an adhesive and directly connecting the interconnect structures without an intervening reflowable material, such as solder.
  • the DBI technique may use pressure and/or heat to bond the substrates ( 102 , 114 ) and the interconnects ( 106 , 116 ).
  • the illustration at block (D) shows bonding between a substrate with erosion ( 102 ) bonded to a substrate without erosion ( 114 ). In this example, a gap exists between the substrates 102 and 114 at the location of the interconnects 106 and 116 .
  • the gap is a result of excessive dielectric erosion and dishing from the polishing step describe earlier.
  • the gap can result in poor bonding between the substrates 102 and 114 , as well as poor bonding and discontinuity between the interconnects 106 and 116 .
  • the gap can be larger when both substrates 102 and 114 have excessive erosion at the location of the interconnects 106 and 116 , respectively.
  • the diagram at block (F) shows an ideal scenario, where the substrates 102 and 114 have minimal or no erosion of the dielectric and minimal dishing, and no gap is present.
  • FIG. 2 is a schematically illustrated block diagram illustrating an example substrate processing and repair process 200 , according to an embodiment.
  • processing of a substrate 102 including removal of the overfill 110 and the metallic barrier 108 can result in a recess 112 , or erosion of the substrate 102 at the location of the interconnects 106 .
  • This is also illustrated in FIG. 2 , at block (A) and block (B).
  • the defective substrate 102 may be repaired or recovered by the corrective methods disclosed herein.
  • the dielectric layer 202 may be partially polished to further smooth the coated dielectric layer 202 .
  • a first wet selective etchant (or dielectric etchant) may be used to selectively etch the dielectric layer 202 and the substrate dielectric 102 without roughening the metallic interconnects 106 , or the new surface of the etched substrate 102 .
  • the first selective etchant does not substantially affect the surface of the substrate 102 , particularly the flatness/smoothness (nano-scale topography) of the surface.
  • the first selective etchant comprises glycerated diluted hydrofluoric acid or buffered hydrofluoric acid, organic acid, and deionized water, with or without a stabilizing additive.
  • a first selective etchant for the dielectric 102 may comprise an inorganic or organic acid containing a fluoride ion. It is preferable that the content of the fluoride ion be less 2% and preferably less than 0.5% and preferably less than 0.1%.
  • the sources of fluoride ions may include hydrofluoric acid, buffered oxide etch, or tetrabutylammonium fluoride.
  • the first selective etchant solution may also comprise aliphatic or non-aliphatic organic acids, and more than one organic acid may be used in the formulary.
  • the organic acid content of the first selective etchant may typically be less than 2% and preferably less than 1%.
  • organic acid may include formic acid, acetic acid, methyl sulfonic acid and their likes.
  • mineral acids for example, a very small amount of sulfuric acid
  • the amount used should not roughen the surface of the etched metallic interconnect.
  • glycerol is incorporated into the first selective etchant.
  • the content of glycerol may vary between 0.5 to 25% of the formulary, and preferably under 10%.
  • a very small amount of amide, amines, butylated hydroxyanisole (BHA), butylated hydroxytoulene, or organic carbonates may be added to the formulary. It is preferable that the total content of these additional additives be less than 5% and preferably less than 1%.
  • a complexing agent that suppresses the removal or etching or roughening of the surface of the metallic interconnect 106 be incorporated into the formulary.
  • copper a suitable copper complexing agent with one or more triazole moieties may be used.
  • the concentration of the complexing agent is should less than 2%, and preferably less than 1%, 0.2% and less than 200 ppm in some instances.
  • the dielectric planarizing layer 202 and a portion of the substrate 102 are dry etched, using the exposed ends 204 of the interconnects 106 as an indicator of a stopping point. This forms a planar surface on the substrate 102 , with the interconnects 106 protruding a preselected distance above the surface of the substrate 102 .
  • the dielectric layer 202 and a portion of the substrate 102 layer are removed by wet etchant, such as the first selective etchant for example, using the exposed ends 204 of the interconnects 106 as an indicator of a stopping point.
  • the removal of dielectric layer 202 material is a function of time, that is, the longer the first selective etchant is allowed to contact the dielectric layer 202 , the more dielectric material is removed. Accordingly, the first selective etchant is applied for a specified period of time.
  • the second selective etchant does not substantially affect the surface of the substrate 102 , particularly the flatness/smoothness (nano-scale topography) of the surface.
  • the removal of metallic interconnect material is a function of time, that is, the longer the second selective etchant is allowed to contact the metal of the interconnects 106 , the more metal of the interconnects 106 is removed.
  • the second selective etchant may be applied for a specified period of time.
  • the second selective etchant is applied to the conductive material until the exposed end points 204 of the conductive material interconnects 106 have a preselected height relative to the surface of the substrate 102 .
  • the second selective etchant may be applied to the conductive material of the interconnects 106 until the exposed end points 204 of the interconnects 106 are approximately level with the surface of the substrate 102 or are recessed a preselected amount below the surface of the substrate 102 .
  • the second selective etchant comprises a composition that removes, for example, the interconnect 106 metal (in the case of copper or copper oxide) at a controlled rate.
  • the removal is such that the roughness (and lack of roughness) of the copper remains practically unchanged after the removal step.
  • the roughness of the metallic interconnect 106 is less than 2 nm, and in other cases, the roughness is less 0.5 nm.
  • One unique attribute of the formulary of the second selective etchant is that the roughness of the etched metal layer is independent of the duration of the etch.
  • the second selective etchant may be used as slurry in the finishing step of the CMP process.
  • the second selective etchant comprises a glycerated diluted oxidizing agent, organic acid, and deionized water, with or without a stabilizing additive.
  • a formulary of the second selective etchant for the metallic interconnects 106 may comprise an inorganic or organic peroxide, typically less than 2% and preferably less than 0.5%.
  • An example of the oxidizing agent may include hydrogen peroxide and urea peroxide.
  • One or more oxidizing agents may be used in the formulary for the second selective etchant.
  • the organic acid may comprise aliphatic or non-aliphatic organic acids, and also more than one organic acid may be used in the formulary.
  • the organic acid content of the second selective etchant may typically be less than 2% and preferably less than 1%.
  • the organic acid may include formic acid, acetic acid, methyl sulfonic acid, and their likes.
  • mineral acids for example, a very small amount of sulfuric acid
  • glycerol is incorporated in the second selective etchant, where the content of glycerol may vary between 0.5 to 25% of the formulary, and preferably under 10%.
  • amide, amines, butylated hydroxyanisole (BHA), butylated hydroxytoulene, or organic carbonates may be added to the formulary. It is preferable that the total content of these additional additives be less than 5% and preferably less than 1%.
  • polishing with the second selective etchant can be performed until the surfaces of the end points 204 of the interconnects 106 are at or slightly below (less than 8 nm, for example) the surface of the substrate 102 , to allow for the metal (e.g., copper) of the interconnects 106 to expand during bonding.
  • the resulting substrate 102 is substantially free from erosion, and the topography of the substrate 102 surface is more flat/smooth (e.g., at or below 1 nm rms) than using CMP processing alone, making the surface of the substrate 102 ideal for bonding.
  • FIG. 3 is a schematically illustrated block diagram illustrating an example substrate processing and repair sequence 300 , according to a second embodiment.
  • the substrate 102 is prepared in a damascene process as described with reference to FIGS. 1 and 2 .
  • the overfill 110 is removed by CMP process, for example, leaving the metallic barrier layer 108 .
  • the metallic barrier 108 comprises a tantalum or titanium or tungsten-based material, or the like.
  • a thin planarizing dielectric layer 302 is added to the surface of the barrier layer 108 .
  • the planarizing dielectric layer 302 is less than 200 nm thick, or as desired. In an embodiment, using the planarizing layer 302 with the barrier layer 108 can reduce the dishing effect on the surface of the substrate 102 .
  • the planarizing dielectric layer 302 and the barrier layer 108 are removed using a dry etch process, for example, using the end points 204 of the interconnects 106 as an indicator of a stopping point. This forms a planar surface on the substrate 102 , with the ends 204 of the exposed interconnects 106 protruding a preset distance from the surface of the substrate 102 .
  • the process includes selectively wet etching the metallic interconnects 106 , without roughing the surface of the interconnects 106 or roughing the dielectric layer 302 (if it is still present) or the surface of the substrate 102 (as described above).
  • the second selective etchant (as described with reference to FIG. 2 ) is used for this step in the process.
  • the second selective etchant removes the desired material of the interconnects 106 while maintaining a low surface roughness of the substrate 102 .
  • polishing with the second selective etchant can be performed until the surfaces of the ends 204 of the interconnects 106 are at or slightly below (less than 8 nm, for example) the surface of the substrate 102 .
  • the resulting substrate 102 is substantially free from erosion, with a smooth surface topography, making the surface of the substrate 102 ideal for bonding.
  • FIG. 4 is a schematically illustrated block diagram illustrating an example substrate processing and repair sequence 400 , according to a third embodiment.
  • the substrate 102 is prepared in a damascene process as described with reference to FIGS. 1, 2, and 3 .
  • the overfill 110 is removed by CMP process, for example, leaving the metallic barrier layer 108 .
  • a high selectivity copper slurry e.g., greater than 10 is used with the copper barrier 108 .
  • the barrier layer 108 is removed using a dry etch process, for example, using the ends 204 of the interconnects 106 as an indicator of a stopping point. This forms a planar surface on the substrate 102 , with the exposed ends 204 of the interconnects 106 protruding a preset distance from the surface of the substrate 102 .
  • the process includes selectively wet etching the metallic interconnects 106 , without roughing the surface of the interconnects 106 or roughing the dielectric layer 202 , 302 (if present) or the surface of the substrate 102 .
  • the second selective etchant (as described with reference to FIGS. 2 and 3 ) is used for this step in the process.
  • the second selective etchant removes the desired material of the interconnects 106 while maintaining a low surface roughness of the substrate 102 .
  • the process provides an etching uniformity of less than 2 nm.

Abstract

Representative implementations of techniques, methods, and formulary provide repairs to processed semiconductor substrates, and associated devices, due to erosion or “dishing” of a surface of the substrates. The substrate surface is etched until a preselected portion of one or more embedded interconnect devices protrudes above the surface of the substrate. The interconnect devices are wet etched with a selective etchant, according to a formulary, for a preselected period of time or until the interconnect devices have a preselected height relative to the surface of the substrate. The formulary includes one or more oxidizing agents, one or more organic acids, and glycerol, where the one or more oxidizing agents and the one or more organic acids are each less than 2% of formulary and the glycerol is less than 10% of the formulary.

Description

    PRIORITY CLAIM AND CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims the benefit under 35 U.S.C. § 119(e)(1) of U.S. Provisional Application No. 62/439,746, filed Dec. 28, 2016, and U.S. Provisional Application No. 62/439,762, filed Dec. 28, 2016, which is hereby incorporated by reference in its entirety.
  • FIELD
  • The following description relates to processing of integrated circuits (“ICs”). More particularly, the following description relates to techniques for processing substrates.
  • BACKGROUND
  • Semiconductor chips are fabricated on suitable flat substrate wafers, such as GaAs, diamond coated substrates, silicon carbide, silicon wafers, etc. After making the active devices, a series of steps are performed to connect the various devices using highly conducting wiring structures, so that the devices can communicate with each other to perform logic or memory storage operations, for example. The conductive wiring structures or interconnect structures are essentially a network of conducting materials, typically metals, in a matrix of dielectric materials. Conductive pads or terminals are coupled to the network of conducting materials, and are available at one or more locations at a surface of the substrate. With high performance devices, and to improve device density and yield, it is desirable to minimize topographic features within the interconnect layers for a given device and across the entire substrate. One common method of forming these high performance interconnect layers is the damascene process.
  • Multiple types of damascene structures are known, however single and dual damascene processes are the most common. In a single damascene process, each metal or via layer is fabricated in a series of operations, while in a dual damascene process, a metal layer and a via layer are fabricated in a similar operation. Of these two processes, the dual damascene technique is often preferred because of lower cost and higher device performance.
  • In the dual damascene process, a suitable substrate with or without devices is coated with a first suitable resist layer. The resist layer is imaged to define desirable patterns by lithographic methods on the substrate. Cavities are etched on the patterned substrates typically by reactive ion etching (RIE) methods. A second suitable resist layer is coated and patterned over the patterned dielectric layer in such a manner that the width of the first pattern is different from the width of the second pattern. The patterned substrate is then coated with a suitable barrier/seed layer prior to overfilling the cavities with a suitable metal, typically copper, by electro-deposition from a superfilling plating bath chemistry, for example.
  • The damascene process is repeated to form the many layers of interconnect. The substrate surface is polished after the final layer has been deposited. As a result of discontinuity in the properties (difference in mechanical properties, polishing rates, etc.) of the metal and insulator present on the substrate surface, and their respective interactions with the polishing pad, polishing slurry, and other process parameters, the polishing process often produces erosion in high metal pattern density features and dishing in large metal structures. Generally, the higher the metal pattern density, the higher the erosion in the dielectric layer of the substrate surface. Similarly, the larger the size of the metal cavity, the worse the gravity of the dishing defects. These deleterious defects can cause shorting defects in subsequent levels, reducing device yield.
  • Similar results are observed in cross section topographic profiles of polished through silicon via (TSV) structures. The centers of the vias are typically lower than the surface of the insulators, due to the dishing effects described.
  • One of the consequences of substrate surface dishing is poor flatness of the surface of the surface of the substrate and its interconnects. This can cause much higher pressures to be needed for bonding devices together or for wafer to wafer bonding, using so called hybrid bonding techniques. For example, dies and/or wafers may be bonded in a stacked arrangement using various bonding techniques, including direct bonding, non-adhesive techniques such as a ZiBond® technique or a hybrid bonding technique, also known as DBI®, both available from Ziptronix, Inc., a Xperi company (see for example, U.S. Pat. Nos. 6,864,585 and 7,485,968, which are incorporated herein in their entirety). These bonding techniques, and other similar techniques, require extremely flat bonding surfaces for the most reliable and the best performing bonds.
  • One method used to improve wafer to wafer bonding is to selectively recess the dielectric layer, so that the copper structures are protruding above the insulator surface prior to the bonding operation. This operation adds additional cost to the technology and is a source of defect when not properly implemented. Also, the poor flatness on the conductor surface often produces defective bonds, when the said surface is bonded or attached to other devices or substrates.
  • Other attempts to reduce the impact of these defects have included the incorporation of dummy dielectric features within large copper structures in dual damascene features for chip interconnects. This approach has been helpful, but it has also increased mask design complexity and the associated loss of freedom of structure placement on the modified pads.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The detailed description is set forth with reference to the accompanying figures. In the figures, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. The use of the same reference numbers in different figures indicates similar or identical items.
  • For this discussion, the devices and systems illustrated in the figures are shown as having a multiplicity of components. Various implementations of devices and/or systems, as described herein, may include fewer components and remain within the scope of the disclosure. Alternately, other implementations of devices and/or systems may include additional components, or various combinations of the described components, and remain within the scope of the disclosure.
  • FIG. 1 is a schematically illustrated block diagram illustrating an example substrate processing sequence and the resulting dishing of the substrate.
  • FIG. 2 is a schematically illustrated block diagram illustrating an example substrate processing and repair process, according to an embodiment.
  • FIG. 3 is a schematically illustrated block diagram illustrating an example substrate processing and repair process, according to a second embodiment.
  • FIG. 4 is a schematically illustrated block diagram illustrating an example substrate processing and repair process, according to a third embodiment.
  • SUMMARY
  • Various embodiments of methods and techniques for repairing processed semiconductor substrates, and associated devices, are disclosed. The embodiments comprise methods to remedy the erosion or “dishing” resulting from chemical mechanical polishing/planarizing (CMP) of the substrates, and particularly at locations where there is a higher density of metallic structures embedded within the substrates. In some embodiments, unique formularies are used to carry out the described methods and techniques.
  • In various implementations, the substrate has a barrier layer disposed in one or more cavities of the substrate and a conductive material disposed in the one or more cavities, forming one or more conductive interconnect structures. An example process includes dry etching the surface of the substrate, including the barrier layer, until a preselected portion of the conductive material protrudes from the cavities above the surface of the substrate. In some embodiments, a first selective etchant (a wet etchant) may also be applied to etch the surface of the substrate, forming a smooth flat surface, without damaging the metallic interconnect structures.
  • The example process includes selectively wet etching the conductive material protruding from the cavities, including applying a second selective etchant to the conductive material for a preselected period of time or until an end point of the conductive material has a preselected height relative to the surface of the substrate. In some implementations, the process includes selectively wet etching the conductive material until the end point of the conductive material is approximately level with the surface of the substrate or recessed a preselected amount within the one or more cavities below the surface of the substrate. In various embodiments, the second selective etchant is formulated to remove the conductive material, without roughening the smooth surface of the substrate.
  • In an implementation, the process includes building up the “dished” surface of the substrate by filling the defects with a dielectric material and coating the surface of the substrate with a layer of the dielectric material to form a planarizing layer. In another implementation, the process includes leaving at least a portion of the barrier layer intact during dry etching, applying a dielectric material to the barrier layer to form a planarizing layer, and dry etching the planarizing layer and the at least a portion of the barrier layer until the end point of the conductive material has the preselected height relative to the surface of the substrate.
  • In some implementations, the dry etching is performed using a high selectivity copper slurry, leaving at least a portion of the barrier layer intact, and dry etching at least a portion of the barrier layer and the surface of the substrate until the end point of the conductive material has the preselected height relative to the surface of the substrate.
  • In an example implementation, the first selective etchant comprises a source of fluoride ions, one or more organic acids, glycerol, and a complexing agent, where a content of the source of fluoride ions is less than 2% of the formulary, a content of the one or more organic acids is less than 2% of the formulary, and a content of the glycerol is less than 10% of the formulary. In another example implementation, the second selective etchant comprises one or more oxidizing agents, one or more organic acids, and glycerol, where the one or more oxidizing agents and the one or more organic acids are each less than 2% of formulary.
  • In an alternate implementation, the first selective etchant and the second selective etchant include a common formulary. In the implementation, combining the common formulary with one or more additives at preselected process stages allows for the etching of dielectric (e.g., the first selective etchant) or the etching of metals (e.g., the second selective etchant) as appropriate for the process stage.
  • Various implementations and arrangements are discussed with reference to electrical and electronics components and varied carriers. While specific components (i.e., wafers, integrated circuit (IC) chip dies, etc.) are mentioned, this is not intended to be limiting, and is for ease of discussion and illustrative convenience. The techniques and devices discussed with reference to a wafer, die, or the like, are applicable to any type or number of electrical components, circuits (e.g., integrated circuits (IC), mixed circuits, ASICS, memory devices, processors, etc.), groups of components, packaged components, structures (e.g., wafers, panels, boards, PCBs, etc.), and the like, that may be coupled to interface with each other, with external circuits, systems, carriers, and the like. Each of these different components, circuits, groups, packages, structures, and the like, can be generically referred to as a “microelectronic element.” For simplicity, such components will also be referred to herein as a “die” or a “substrate.”
  • The disclosed processes are illustrated using block flow diagrams. The order in which the disclosed processes are described is not intended to be construed as a limitation, and any number of the described process blocks can be combined in any order to implement the processes, or alternate processes. Additionally, individual blocks may be deleted from the processes without departing from the spirit and scope of the subject matter described herein. Furthermore, the disclosed processes can be implemented in any suitable manufacturing or processing apparatus or system, along with any hardware, software, firmware, or a combination thereof, without departing from the scope of the subject matter described herein.
  • Implementations are explained in more detail below using a plurality of examples. Although various implementations and examples are discussed here and below, further implementations and examples may be possible by combining the features and elements of individual implementations and examples.
  • DETAILED DESCRIPTION Overview
  • A schematically illustrated block diagram 100 is shown at FIG. 1, illustrating an example substrate processing sequence and the resulting dishing 112 of the substrate 102. A substrate 102 may include wafers, such as GaAs, diamond coated substrates, silicon carbide, silicon wafers, flat panels, glasses, ceramics, circuit boards, packages, an interposer, structures with or without an embedded device or devices, etc. For clarity, the substrate 102 comprises a surface of interest processed for intimate contact with another surface.
  • As shown in FIG. 1 at block (A), a semiconductor substrate 102 is coupled to a carrier 104. The carrier 104 may comprise a semiconductor device, a backend of the line routing layer, an RDL layer or typically a wiring structure. Cavities or trenches are formed in the substrate 102 for forming conductive interconnect structures 106, or the like. The said cavities may be a blind cavity in which the bottom surface of the cavities contacts the wiring features beneath. In some applications, the cavities are etched in a dielectric material with or without wiring features beneath the cavity. A barrier metal layer 108 is applied to the substrate 102 surface, to prevent diffusion into the semiconductor substrate 102. A damascene process may be used to fill the cavities in the substrate 102 with a conductive material (such as copper, for example), to form the interconnect structures 106, vias, trenches, combinations of vias and trenches, or the like. The metal filling step process commonly leaves an overfill 110 of the conductive material on the surface of the substrate 102 and barrier layer 108.
  • As shown at block (B), the conductive overfill 110 is removed (here, to the barrier layer 108), by chemical mechanical polishing (CMP), for example. At block (C), the CMP process is further used to remove the metallic barrier layer 108. As shown at block (C), CMP polishing the substrate 102 can result in dielectric erosion and dishing 112 at the location of the interconnect structures 106. For example, depending on the polishing variables, the erosion 112 may be greater than 20 nm in depth for damascene cavities less than 1 micron in depth.
  • The illustrations at blocks (D), (E), and (F) show examples of substrate bonding using a direct bond interconnect (DBI) technique, i.e. directly bonding the surfaces of the semiconductor substrates without an adhesive and directly connecting the interconnect structures without an intervening reflowable material, such as solder. For example, the DBI technique may use pressure and/or heat to bond the substrates (102, 114) and the interconnects (106, 116). The illustration at block (D) shows bonding between a substrate with erosion (102) bonded to a substrate without erosion (114). In this example, a gap exists between the substrates 102 and 114 at the location of the interconnects 106 and 116. The gap is a result of excessive dielectric erosion and dishing from the polishing step describe earlier. The gap can result in poor bonding between the substrates 102 and 114, as well as poor bonding and discontinuity between the interconnects 106 and 116. As shown at block (E), the gap can be larger when both substrates 102 and 114 have excessive erosion at the location of the interconnects 106 and 116, respectively. The diagram at block (F) shows an ideal scenario, where the substrates 102 and 114 have minimal or no erosion of the dielectric and minimal dishing, and no gap is present. As shown at block (F), there is intimate contact between the surfaces of substrates 102 and 114, and the interconnect features 106 and 116 are connected without obvious void defects.
  • Example Embodiments
  • FIG. 2 is a schematically illustrated block diagram illustrating an example substrate processing and repair process 200, according to an embodiment. As described with reference to FIG. 1, processing of a substrate 102, including removal of the overfill 110 and the metallic barrier 108 can result in a recess 112, or erosion of the substrate 102 at the location of the interconnects 106. This is also illustrated in FIG. 2, at block (A) and block (B). Rather than discard the substrate 102 because of the defects, the defective substrate 102 may be repaired or recovered by the corrective methods disclosed herein.
  • Referring to FIG. 2, at block (C), the eroded surface of the substrate 102 (including the recess 112) is built up to re-surface. In one example, a dielectric (such as a thin oxide layer, a glass, or the like) can be coated onto (e.g., spin-on, etc.) the surface of the substrate 102, filling the recess 112 and forming a dielectric planarizing layer 202 over the eroded surface of the substrate 102. In some examples, the planarizing layer 202 may be less than 200 nm thick, or as needed to form a planar surface.
  • In an embodiment, the dielectric layer 202 may be partially polished to further smooth the coated dielectric layer 202. In an alternate embodiment, a first wet selective etchant (or dielectric etchant) may be used to selectively etch the dielectric layer 202 and the substrate dielectric 102 without roughening the metallic interconnects 106, or the new surface of the etched substrate 102. In the embodiment, the first selective etchant does not substantially affect the surface of the substrate 102, particularly the flatness/smoothness (nano-scale topography) of the surface.
  • In an implementation, the first selective etchant comprises glycerated diluted hydrofluoric acid or buffered hydrofluoric acid, organic acid, and deionized water, with or without a stabilizing additive. In some formulary, a first selective etchant for the dielectric 102 may comprise an inorganic or organic acid containing a fluoride ion. It is preferable that the content of the fluoride ion be less 2% and preferably less than 0.5% and preferably less than 0.1%. Examples of the sources of fluoride ions may include hydrofluoric acid, buffered oxide etch, or tetrabutylammonium fluoride. The first selective etchant solution may also comprise aliphatic or non-aliphatic organic acids, and more than one organic acid may be used in the formulary. The organic acid content of the first selective etchant may typically be less than 2% and preferably less than 1%. Examples of organic acid may include formic acid, acetic acid, methyl sulfonic acid and their likes. In some embodiments, mineral acids (for example, a very small amount of sulfuric acid) may be used. However, the amount used should not roughen the surface of the etched metallic interconnect.
  • In various embodiments, glycerol is incorporated into the first selective etchant. The content of glycerol may vary between 0.5 to 25% of the formulary, and preferably under 10%. In other applications, a very small amount of amide, amines, butylated hydroxyanisole (BHA), butylated hydroxytoulene, or organic carbonates may be added to the formulary. It is preferable that the total content of these additional additives be less than 5% and preferably less than 1%. It is also desirable that a complexing agent that suppresses the removal or etching or roughening of the surface of the metallic interconnect 106 be incorporated into the formulary. In the case of copper a suitable copper complexing agent with one or more triazole moieties may be used. The concentration of the complexing agent is should less than 2%, and preferably less than 1%, 0.2% and less than 200 ppm in some instances.
  • At block (D), the dielectric planarizing layer 202 and a portion of the substrate 102 (and any residual barrier layer 108 present) are dry etched, using the exposed ends 204 of the interconnects 106 as an indicator of a stopping point. This forms a planar surface on the substrate 102, with the interconnects 106 protruding a preselected distance above the surface of the substrate 102. Alternatively, at block (D), the dielectric layer 202 and a portion of the substrate 102 layer are removed by wet etchant, such as the first selective etchant for example, using the exposed ends 204 of the interconnects 106 as an indicator of a stopping point. This forms a planar surface on the substrate 102, with the interconnects 106 protruding a preset distance from the surface of the substrate 102. In an embodiment, the removal of dielectric layer 202 material is a function of time, that is, the longer the first selective etchant is allowed to contact the dielectric layer 202, the more dielectric material is removed. Accordingly, the first selective etchant is applied for a specified period of time.
  • At block (E), the process includes selectively wet etching the metallic interconnects 106, without roughing the surface of the interconnects 106 and without roughing the dielectric layer 202 (if a portion is still present) or the surface of the substrate 102. In an embodiment, a second selective etchant is used for this step in the process. The second selective etchant is applied to the conductive material of the interconnect structures 106, and removes the desired material of the interconnects 106 while maintaining a low surface roughness of the substrate 102.
  • For example, in the embodiment, the second selective etchant does not substantially affect the surface of the substrate 102, particularly the flatness/smoothness (nano-scale topography) of the surface. In one embodiment, the removal of metallic interconnect material is a function of time, that is, the longer the second selective etchant is allowed to contact the metal of the interconnects 106, the more metal of the interconnects 106 is removed. Accordingly, the second selective etchant may be applied for a specified period of time. In another embodiment, the second selective etchant is applied to the conductive material until the exposed end points 204 of the conductive material interconnects 106 have a preselected height relative to the surface of the substrate 102. For instance, the second selective etchant may be applied to the conductive material of the interconnects 106 until the exposed end points 204 of the interconnects 106 are approximately level with the surface of the substrate 102 or are recessed a preselected amount below the surface of the substrate 102.
  • In one implementation, the second selective etchant comprises a composition that removes, for example, the interconnect 106 metal (in the case of copper or copper oxide) at a controlled rate. The removal is such that the roughness (and lack of roughness) of the copper remains practically unchanged after the removal step. In one embodiment, after the metal removal step, the roughness of the metallic interconnect 106 is less than 2 nm, and in other cases, the roughness is less 0.5 nm. One unique attribute of the formulary of the second selective etchant is that the roughness of the etched metal layer is independent of the duration of the etch. In one embodiment, the second selective etchant may be used as slurry in the finishing step of the CMP process.
  • In an implementation, the second selective etchant comprises a glycerated diluted oxidizing agent, organic acid, and deionized water, with or without a stabilizing additive. In an example, a formulary of the second selective etchant for the metallic interconnects 106 may comprise an inorganic or organic peroxide, typically less than 2% and preferably less than 0.5%. An example of the oxidizing agent may include hydrogen peroxide and urea peroxide. One or more oxidizing agents may be used in the formulary for the second selective etchant. The organic acid may comprise aliphatic or non-aliphatic organic acids, and also more than one organic acid may be used in the formulary. The organic acid content of the second selective etchant may typically be less than 2% and preferably less than 1%. Examples of the organic acid may include formic acid, acetic acid, methyl sulfonic acid, and their likes. In some embodiments, mineral acids (for example, a very small amount of sulfuric acid) may be used, however, the amount should not roughen the surface of the etched metallic interconnect 106. In one embodiment, glycerol is incorporated in the second selective etchant, where the content of glycerol may vary between 0.5 to 25% of the formulary, and preferably under 10%. In other applications a very small amount of amide, amines, butylated hydroxyanisole (BHA), butylated hydroxytoulene, or organic carbonates may be added to the formulary. It is preferable that the total content of these additional additives be less than 5% and preferably less than 1%.
  • As illustrated at block (F), polishing with the second selective etchant can be performed until the surfaces of the end points 204 of the interconnects 106 are at or slightly below (less than 8 nm, for example) the surface of the substrate 102, to allow for the metal (e.g., copper) of the interconnects 106 to expand during bonding. The resulting substrate 102 is substantially free from erosion, and the topography of the substrate 102 surface is more flat/smooth (e.g., at or below 1 nm rms) than using CMP processing alone, making the surface of the substrate 102 ideal for bonding.
  • FIG. 3 is a schematically illustrated block diagram illustrating an example substrate processing and repair sequence 300, according to a second embodiment. As shown at block (A), the substrate 102 is prepared in a damascene process as described with reference to FIGS. 1 and 2. At block (B), the overfill 110 is removed by CMP process, for example, leaving the metallic barrier layer 108. In an embodiment, the metallic barrier 108 comprises a tantalum or titanium or tungsten-based material, or the like.
  • As shown at block (C), a thin planarizing dielectric layer 302 is added to the surface of the barrier layer 108. In an example, the planarizing dielectric layer 302 is less than 200 nm thick, or as desired. In an embodiment, using the planarizing layer 302 with the barrier layer 108 can reduce the dishing effect on the surface of the substrate 102.
  • As shown at block (D), the planarizing dielectric layer 302 and the barrier layer 108 are removed using a dry etch process, for example, using the end points 204 of the interconnects 106 as an indicator of a stopping point. This forms a planar surface on the substrate 102, with the ends 204 of the exposed interconnects 106 protruding a preset distance from the surface of the substrate 102.
  • At block (E), the process includes selectively wet etching the metallic interconnects 106, without roughing the surface of the interconnects 106 or roughing the dielectric layer 302 (if it is still present) or the surface of the substrate 102 (as described above). In an embodiment, the second selective etchant (as described with reference to FIG. 2) is used for this step in the process. The second selective etchant removes the desired material of the interconnects 106 while maintaining a low surface roughness of the substrate 102.
  • As illustrated at block (F), polishing with the second selective etchant can be performed until the surfaces of the ends 204 of the interconnects 106 are at or slightly below (less than 8 nm, for example) the surface of the substrate 102. The resulting substrate 102 is substantially free from erosion, with a smooth surface topography, making the surface of the substrate 102 ideal for bonding.
  • FIG. 4 is a schematically illustrated block diagram illustrating an example substrate processing and repair sequence 400, according to a third embodiment. As shown at block (A), the substrate 102 is prepared in a damascene process as described with reference to FIGS. 1, 2, and 3. At block (B), the overfill 110 is removed by CMP process, for example, leaving the metallic barrier layer 108. In an example, a high selectivity copper slurry (e.g., greater than 10) is used with the copper barrier 108.
  • As shown at block (C), the barrier layer 108 is removed using a dry etch process, for example, using the ends 204 of the interconnects 106 as an indicator of a stopping point. This forms a planar surface on the substrate 102, with the exposed ends 204 of the interconnects 106 protruding a preset distance from the surface of the substrate 102.
  • At block (D), the process includes selectively wet etching the metallic interconnects 106, without roughing the surface of the interconnects 106 or roughing the dielectric layer 202, 302 (if present) or the surface of the substrate 102. In an embodiment, the second selective etchant (as described with reference to FIGS. 2 and 3) is used for this step in the process. The second selective etchant removes the desired material of the interconnects 106 while maintaining a low surface roughness of the substrate 102. In an implementation, the process provides an etching uniformity of less than 2 nm.
  • In alternate implementations, other techniques may be included in the processes disclosed in various combinations, and remain within the scope of the disclosure.
  • Conclusion
  • Although the implementations of the disclosure have been described in language specific to structural features and/or methodological acts, it is to be understood that the implementations are not necessarily limited to the specific features or acts described. Rather, the specific features and acts are disclosed as representative forms of implementing example devices and techniques.
  • Each claim of this document constitutes a separate embodiment, and embodiments that combine different claims and/or different embodiments are within the scope of the disclosure and will be apparent to those of ordinary skill in the art upon reviewing this disclosure.

Claims (20)

What is claimed is:
1. A method of repairing defects on a surface of a substrate, the substrate having a barrier layer disposed in one or more cavities of the substrate and a conductive material disposed in the one or more cavities, the method comprising:
dry etching the surface of the substrate, including the barrier layer, until a preselected portion of the conductive material protrudes from the cavities above the surface of the substrate; and
selectively wet etching the conductive material protruding from the cavities, including applying a selective etchant to the conductive material for a preselected period of time or until an end point of the conductive material has a preselected height relative to the surface of the substrate.
2. The method of claim 1, wherein the selective etchant is a second selective etchant;
and further comprising selectively wet etching the surface of the substrate using a first selective etchant until a preselected portion of the conductive material protrudes from the cavities above the surface of the substrate.
3. The method of claim 2, wherein the first selective etchant comprises: glycerated diluted hydrofluoric acid or buffered hydrofluoric acid, organic acid, and deionized water, with or without a stabilizing additive.
4. The method of claim 2, wherein the first selective etchant comprises one or more triazole moieties, wherein the content of the complexing agent is less than 2% of the formulary.
5. The method of claim 2, wherein the first selective etchant is formulated with a complexing agent to selectively etch the surface of the substrate without roughening a surface of the conductive material.
6. The method of claim 5, wherein the complexing agent comprises: an inorganic or organic acid containing a fluoride ion, wherein the content of the fluoride ion is less than 2% of the formulary.
7. The method of claim 1, further comprising building up the surface of the substrate by filling the defects with a dielectric material and coating the surface of the substrate with a layer of the dielectric material to form a planarizing layer.
8. The method of claim 7, further comprising polishing the planarizing layer and the surface of the substrate to form a planar bonding surface.
9. The method of claim 7, further comprising removing at least a portion of the planarizing layer and at least a portion of the substrate until a preselected portion of the conductive material protrudes from the cavities above the surface of the substrate.
10. The method of claim 1, further comprising leaving at least a portion of the barrier layer intact during dry etching;
applying a dielectric material to the barrier layer to form a planarizing layer; and
dry etching the planarizing layer and the at least a portion of the barrier layer until the end point of the conductive material has the preselected height relative to the surface of the substrate.
11. The method of claim 1, wherein the dry etching is performed using a high selectivity copper slurry, leaving at least a portion of the barrier layer intact; and
further comprising dry etching the at least a portion of the barrier layer and the surface of the substrate until the end point of the conductive material has the preselected height relative to the surface of the substrate.
12. The method of claim 1, further comprising selectively wet etching the conductive material using the selective etchant until the end point of the conductive material is approximately level with the surface of the substrate or recessed a preselected amount within the one or more cavities below the surface of the substrate.
13. The method of claim 1, wherein the selective etchant comprises: one or more oxidizing agents, one or more organic acids, and glycerol, wherein the one or more oxidizing agents and the one or more organic acids are each less than 2% of formulary.
14. A formulary for selectively etching a metal without roughening a surface of said metal, the formulary comprising:
one or more oxidizing agents;
one or more organic acids; and
glycerol, wherein the one or more oxidizing agents and the one or more organic acids are each less than 2% of formulary and the glycerol is less than 10% of the formulary.
15. The formulary of claim 14, wherein the formulary further comprises a stabilizing agent.
16. The formulary of claim 14, wherein the oxidizing agent comprises an inorganic peroxide or an organic peroxide or a combination of an inorganic peroxide and an organic peroxide.
17. The formulary of claim 14, wherein the oxidizing agent comprises hydrogen peroxide or urea peroxide or a combination of hydrogen peroxide and urea peroxide.
18. The formulary of claim 14, wherein the organic acid comprises acetic acid, formic acid, or methylsulfonic acid, or a combination thereof.
19. A formulary for selectively etching a dielectric oxide layer without roughening a surface of said dielectric oxide layer or roughening a surface of a metal layer, the formulary comprising:
a source of fluoride ions;
one or more organic acids;
glycerol; and
a complexing agent, wherein a content of the source of fluoride ions is less than 2% of the formulary, a content of the one or more organic acids is less than 2% of the formulary, and a content of the glycerol is less than 10% of the formulary.
20. The formulary of claim 19, wherein the complexing agent comprises one or more triazole moiety and a content of the complexing agent is less than 1% of the formulary.
US15/845,831 2016-12-28 2017-12-18 Processed Substrate Abandoned US20180182665A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/845,831 US20180182665A1 (en) 2016-12-28 2017-12-18 Processed Substrate

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662439762P 2016-12-28 2016-12-28
US201662439746P 2016-12-28 2016-12-28
US15/845,831 US20180182665A1 (en) 2016-12-28 2017-12-18 Processed Substrate

Publications (1)

Publication Number Publication Date
US20180182665A1 true US20180182665A1 (en) 2018-06-28

Family

ID=62625083

Family Applications (4)

Application Number Title Priority Date Filing Date
US15/845,831 Abandoned US20180182665A1 (en) 2016-12-28 2017-12-18 Processed Substrate
US15/849,325 Active US10672654B2 (en) 2016-12-28 2017-12-20 Microelectronic assembly from processed substrate
US16/842,233 Active 2038-02-06 US11367652B2 (en) 2016-12-28 2020-04-07 Microelectronic assembly from processed substrate
US17/825,405 Pending US20220285213A1 (en) 2016-12-28 2022-05-26 Microelectronic assembly from processed substrate

Family Applications After (3)

Application Number Title Priority Date Filing Date
US15/849,325 Active US10672654B2 (en) 2016-12-28 2017-12-20 Microelectronic assembly from processed substrate
US16/842,233 Active 2038-02-06 US11367652B2 (en) 2016-12-28 2020-04-07 Microelectronic assembly from processed substrate
US17/825,405 Pending US20220285213A1 (en) 2016-12-28 2022-05-26 Microelectronic assembly from processed substrate

Country Status (1)

Country Link
US (4) US20180182665A1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
CN112087859A (en) * 2019-06-12 2020-12-15 钰桥半导体股份有限公司 Circuit board with anti-seepage base and embedded component and semiconductor assembly thereof
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
WO2021236361A1 (en) * 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11515279B2 (en) 2018-04-11 2022-11-29 Adeia Semiconductor Bonding Technologies Inc. Low temperature bonded structures
US11710718B2 (en) 2015-07-10 2023-07-25 Adeia Semiconductor Technologies Llc Structures and methods for low temperature bonding using nanoparticles
US11728313B2 (en) 2018-06-13 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Offset pads over TSV
US11804377B2 (en) 2018-04-05 2023-10-31 Adeia Semiconductor Bonding Technologies, Inc. Method for preparing a surface for direct-bonding
US11894326B2 (en) 2017-03-17 2024-02-06 Adeia Semiconductor Bonding Technologies Inc. Multi-metal contact structure
US11908739B2 (en) 2017-06-05 2024-02-20 Adeia Semiconductor Technologies Llc Flat metal features for microelectronics applications
US11929347B2 (en) 2020-10-20 2024-03-12 Adeia Semiconductor Technologies Llc Mixed exposure for large die

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) * 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
KR102320673B1 (en) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 Processing of laminated substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (en) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 Bonded structure with integrated passive components
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10832917B2 (en) 2017-06-09 2020-11-10 International Business Machines Corporation Low oxygen cleaning for CMP equipment
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
JP6917807B2 (en) * 2017-07-03 2021-08-11 東京エレクトロン株式会社 Substrate processing method
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
CN111492358B (en) * 2017-12-22 2023-06-16 英国电讯有限公司 Device authentication
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN112514059A (en) 2018-06-12 2021-03-16 伊文萨思粘合技术公司 Interlayer connection of stacked microelectronic components
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
CN113330557A (en) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 Bonding structure
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
KR20220120631A (en) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 Electrical Redundancy for Bonded Structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
CN115626607A (en) * 2022-11-15 2023-01-20 慕德微纳(杭州)科技有限公司 Method for repairing height of micro-nano structure

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110291263A1 (en) * 2010-05-28 2011-12-01 Texas Instruments Incorporated Ic having dielectric polymeric coated protruding features having wet etched exposed tips
US20120309190A1 (en) * 2011-06-02 2012-12-06 Kabushiki Kaisha Toshiba Copper interconnect formation
US20140362267A1 (en) * 2011-07-05 2014-12-11 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
US20170338214A1 (en) * 2016-05-19 2017-11-23 Ziptronix, Inc. Stacked dies and methods for forming bonded structures
US9887155B2 (en) * 2012-09-28 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metal layer semiconductor device and low temperature stacking method of fabricating the same
US20190252322A1 (en) * 2016-06-30 2019-08-15 Henning Braunisch High density interconnect structures configured for manufacturing and performance

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0651449B1 (en) 1993-11-01 2002-02-13 Matsushita Electric Industrial Co., Ltd. Electronic component and method for producing the same
KR960009074A (en) 1994-08-29 1996-03-22 모리시다 요이치 Semiconductor device and manufacturing method thereof
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP3532788B2 (en) 1999-04-13 2004-05-31 唯知 須賀 Semiconductor device and manufacturing method thereof
JP3440057B2 (en) 2000-07-05 2003-08-25 唯知 須賀 Semiconductor device and manufacturing method thereof
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP2002353416A (en) 2001-05-25 2002-12-06 Sony Corp Semiconductor storage device and manufacturing method therefor
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (en) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド Polishing method and polishing composition used therefor
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
JP5517800B2 (en) 2010-07-09 2014-06-11 キヤノン株式会社 Member for solid-state imaging device and method for manufacturing solid-state imaging device
FR2966283B1 (en) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa METHOD FOR PRODUCING A COLLAGE STRUCTURE
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
WO2012161044A1 (en) 2011-05-24 2012-11-29 ソニー株式会社 Semiconductor device
US8728934B2 (en) * 2011-06-24 2014-05-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
JP5982748B2 (en) 2011-08-01 2016-08-31 ソニー株式会社 SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
CN103377911B (en) 2012-04-16 2016-09-21 中国科学院微电子研究所 The method improving CMP process uniformity
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (en) 2013-12-11 2015-06-22 株式会社東芝 Semiconductor device manufacturing method
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
JP2016018879A (en) * 2014-07-08 2016-02-01 株式会社東芝 Semiconductor device and semiconductor device manufacturing method
KR102275705B1 (en) 2014-07-11 2021-07-09 삼성전자주식회사 Wafer-to-wafer bonding structure
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US10319701B2 (en) * 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
KR102505856B1 (en) 2016-06-09 2023-03-03 삼성전자 주식회사 wafer-to-wafer bonding structure
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (en) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 Processing of laminated substrates
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP6640780B2 (en) 2017-03-22 2020-02-05 キオクシア株式会社 Semiconductor device manufacturing method and semiconductor device
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110291263A1 (en) * 2010-05-28 2011-12-01 Texas Instruments Incorporated Ic having dielectric polymeric coated protruding features having wet etched exposed tips
US20120309190A1 (en) * 2011-06-02 2012-12-06 Kabushiki Kaisha Toshiba Copper interconnect formation
US20140362267A1 (en) * 2011-07-05 2014-12-11 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
US9887155B2 (en) * 2012-09-28 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metal layer semiconductor device and low temperature stacking method of fabricating the same
US20170338214A1 (en) * 2016-05-19 2017-11-23 Ziptronix, Inc. Stacked dies and methods for forming bonded structures
US20190252322A1 (en) * 2016-06-30 2019-08-15 Henning Braunisch High density interconnect structures configured for manufacturing and performance

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11710718B2 (en) 2015-07-10 2023-07-25 Adeia Semiconductor Technologies Llc Structures and methods for low temperature bonding using nanoparticles
US11894326B2 (en) 2017-03-17 2024-02-06 Adeia Semiconductor Bonding Technologies Inc. Multi-metal contact structure
US11908739B2 (en) 2017-06-05 2024-02-20 Adeia Semiconductor Technologies Llc Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11552041B2 (en) 2017-09-24 2023-01-10 Adeia Semiconductor Bonding Technologies Inc. Chemical mechanical polishing for hybrid bonding
US11804377B2 (en) 2018-04-05 2023-10-31 Adeia Semiconductor Bonding Technologies, Inc. Method for preparing a surface for direct-bonding
US11515279B2 (en) 2018-04-11 2022-11-29 Adeia Semiconductor Bonding Technologies Inc. Low temperature bonded structures
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11728313B2 (en) 2018-06-13 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Offset pads over TSV
US11955445B2 (en) 2018-06-13 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Metal pads over TSV
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11756880B2 (en) 2018-10-22 2023-09-12 Adeia Semiconductor Bonding Technologies Inc. Interconnect structures
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN112087859A (en) * 2019-06-12 2020-12-15 钰桥半导体股份有限公司 Circuit board with anti-seepage base and embedded component and semiconductor assembly thereof
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
WO2021236361A1 (en) * 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11929347B2 (en) 2020-10-20 2024-03-12 Adeia Semiconductor Technologies Llc Mixed exposure for large die

Also Published As

Publication number Publication date
US10672654B2 (en) 2020-06-02
US20220285213A1 (en) 2022-09-08
US20200243380A1 (en) 2020-07-30
US11367652B2 (en) 2022-06-21
US20180182666A1 (en) 2018-06-28

Similar Documents

Publication Publication Date Title
US20180182665A1 (en) Processed Substrate
US11894326B2 (en) Multi-metal contact structure
US11742314B2 (en) Reliable hybrid bonded apparatus
US11652083B2 (en) Processed stacked dies
KR20230095110A (en) Direct bonding method and structure
CN100515671C (en) Chemically mechanical polishing process and process for polishing copper layer oxide on base
US8728934B2 (en) Systems and methods for producing flat surfaces in interconnect structures
US7247558B2 (en) Method and system for electroprocessing conductive layers
JP3033574B1 (en) Polishing method
US6443807B1 (en) Polishing process for use in method of fabricating semiconductor device
JP5942867B2 (en) Manufacturing method of semiconductor device
US7422700B1 (en) Compositions and methods of electrochemical removal of material from a barrier layer of a wafer
US7202161B2 (en) Substrate processing method and apparatus
KR102599825B1 (en) Planarization process and device for TSV structures
KR100744601B1 (en) Method for forming copper line in semiconductor device
KR100403197B1 (en) Method of forming a metal wiring in a semiconductor device
KR100732310B1 (en) Method for chemical mechanical polishing of semiconductor device
KR20030058593A (en) Slurry for chemical mechanical polishing of semiconductor device and manufacturing method for metal line contact plug using the same
Vijayakumar et al. Chemical-mechanical planarization advances with the times
JP2005109327A (en) Wiring forming method
KR20040103646A (en) Method of forming metal wirings

Legal Events

Date Code Title Description
AS Assignment

Owner name: INVENSAS BONDING TECHNOLOGIES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:UZOH, CYPRIAN EMEKA;MIRKARIMI, LAURA WILLS;REEL/FRAME:045466/0025

Effective date: 20171220

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

AS Assignment

Owner name: BANK OF AMERICA, N.A., NORTH CAROLINA

Free format text: SECURITY INTEREST;ASSIGNORS:ROVI SOLUTIONS CORPORATION;ROVI TECHNOLOGIES CORPORATION;ROVI GUIDES, INC.;AND OTHERS;REEL/FRAME:053468/0001

Effective date: 20200601

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION