US20210335660A1 - Semiconductor structure having void between bonded wafers and manufacturing method tehreof - Google Patents

Semiconductor structure having void between bonded wafers and manufacturing method tehreof Download PDF

Info

Publication number
US20210335660A1
US20210335660A1 US16/857,920 US202016857920A US2021335660A1 US 20210335660 A1 US20210335660 A1 US 20210335660A1 US 202016857920 A US202016857920 A US 202016857920A US 2021335660 A1 US2021335660 A1 US 2021335660A1
Authority
US
United States
Prior art keywords
dielectric layer
wafer
component
substrate
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/857,920
Inventor
Hsih-Yang Chiu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanya Technology Corp
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Priority to US16/857,920 priority Critical patent/US20210335660A1/en
Assigned to NANYA TECHNOLOGY CORPORATION reassignment NANYA TECHNOLOGY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIU, HSISH-YANG
Priority to TW110113608A priority patent/TWI763432B/en
Priority to CN202110435471.5A priority patent/CN113555339B/en
Priority to US17/511,211 priority patent/US11676857B2/en
Publication of US20210335660A1 publication Critical patent/US20210335660A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout

Definitions

  • the present disclosure relates to a semiconductor structure, and a method of manufacturing the semiconductor structure.
  • the present disclosure relates to a semiconductor structure having a through via electrically connected to components in two semiconductive wafers or circuitries or components external to the wafers, and a method of manufacturing the semiconductor structure including forming a void between two semiconductive wafers and filling the void with conductive material connecting components within the wafers.
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cellular phones, digital cameras, and other electronic equipment.
  • the fabrication of semiconductor devices involves sequentially depositing various material layers over a semiconductive wafer, and patterning the material layers using lithography and etching processes to form microelectronic components, including transistors, diodes, resistors and/or capacitors, on or in the semiconductive wafer.
  • the semiconductor industry continues to improve the integration density of the microelectronic components by continual reduction of minimum feature size, which allows more components to be integrated into a given area. Smaller package structures with smaller footprints are developed to package the semiconductor devices. For example, in an attempt to further increase density of the semiconductor device, three-dimensional (3D) integrated circuits including stacks of two or more microelectronic components have been investigated.
  • 3D three-dimensional
  • the semiconductor structure includes a first wafer including a first dielectric layer and a first component disposed within the first dielectric layer; a second wafer disposed over the first wafer and including a second dielectric layer over the first dielectric layer, and a second component disposed within the second dielectric layer; and a conductive structure including a first member surrounded by the first dielectric layer and the second dielectric layer, and a second member protruding from the first member and surrounded by the second wafer.
  • the first dielectric layer is in contact with the second dielectric layer.
  • the first component, the second component and the conductive structure are electrically connected to each other.
  • the first component and the second component at least partially contact the first member of the conductive structure.
  • a thickness of the first wafer is substantially greater than a thickness of the second wafer.
  • the first dielectric layer and the second dielectric layer include oxide.
  • the semiconductor structure further includes an isolating layer surrounding at least a portion of the second member of the conductive structure and disposed between the second dielectric layer and the second member of the conductive structure.
  • the isolating layer includes oxide.
  • Another aspect of the present disclosure provides a method of manufacturing a semiconductor structure.
  • the method includes steps of providing a first wafer including a first substrate, a first dielectric layer disposed over the first substrate and a first component for iced within the first dielectric layer; providing a second wafer including a second substrate, a second dielectric layer disposed over the second substrate, and a second component formed within the second dielectric layer; removing a first portion of the first dielectric layer to form a first recess; removing a second portion of the second dielectric layer to form a second recess; disposing the second wafer over the first wafer to bond the first dielectric layer to the second dielectric layer; removing a third portion of the second substrate and the second dielectric layer to form a third recess coupled to the second recess; and disposing a conductive material to fill the first recess, the second recess and the third recess to form a conductive structure.
  • the removal of the first portion of the first dielectric layer includes exposing a portion of the first component through the first dielectric layer.
  • the removal of the second portion of the second dielectric layer includes exposing a portion of the second component through the second dielectric layer.
  • the first recess is aligned with the second recess.
  • a void defined by the first recess and the second recess is formed after the disposing of the second wafer over the first wafer.
  • the removal of the third portion of the second substrate and the second dielectric layer includes removing a first section of the third portion to form a first opening, disposing an isolating layer conformal to the first opening, removing a bottom portion of the isolating layer, and removing a second section of the third portion to form a second opening.
  • the conductive material is disposed by electroplating.
  • the third portion of the second substrate and the second dielectric layer is removed by dry etching or laser drilling.
  • the disposing of the second wafer over the first wafer includes forming a first interface between the first dielectric layer and the second dielectric layer.
  • a second interface between the conductive structure and the first dielectric layer or a third interface between the conductive structure and the second dielectric layer is formed after the disposing of the conductive material.
  • the method further includes grinding the second substrate to reduce a thickness of the second wafer prior to the removal of the third portion of the second substrate and the second dielectric layer.
  • the first recess and the second recess are filled with the conductive material after the first dielectric layer is bonded to the second dielectric layer.
  • a semiconductor structure including a void between two wafers and a conductive structure within the void is formed. Since the conductive structure is formed after bonding of two wafers, alignment between two wafers would not adversely affect reliability of the conductive structure interconnecting two wafers. Therefore, overall performance of the semiconductor structure having the conductive structure formed after the bonding of two wafers can be improved.
  • FIG. 1 is a cross-sectional view of a first semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 2 is a cross-sectional view of a second semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a cross-sectional view of a third semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a cross-sectional view of a fourth semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 5 is a flow diagram illustrating a method of manufacturing a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIGS. 6 through 17 illustrate cross-sectional views of intermediate stages in the formation of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 18 is a flow diagram illustrating a method of manufacturing a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIGS. 19 through 27 illustrate cross-sectional views of intermediate stages in the formation of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • first, second, third, etc. may be used herein to describe various elements, components, regions, layers or sections, these elements, components, regions, layers or sections are not limited by these terms. Rather, these terms are merely used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present inventive concept.
  • FIG. 1 is a schematic cross-sectional view of a first semiconductor structure 100 in accordance with some embodiments of the present disclosure.
  • the first semiconductor structure 100 is a part of a die, a package or a device.
  • the first semiconductor structure 100 includes a first wafer 101 and a second wafer 102 stacked over the first wafer 101 .
  • the first wafer 101 is bonded to the second wafer 102 .
  • the second wafer 102 is stacked over the first wafer 101 in front-to-front configuration.
  • the first wafer 101 is a bottom wafer, and the second wafer 102 is a top wafer.
  • a thickness of the first wafer 101 is substantially greater than a thickness of the second wafer 102 .
  • the first wafer 101 includes a first substrate 101 a and a first dielectric layer 101 b disposed over the first substrate 101 a.
  • the first substrate 101 a is a semiconductive layer.
  • the first substrate 101 a includes semiconductive material such as silicon, germanium, gallium, arsenic, or a combination thereof.
  • the first substrate 101 a is a silicon layer.
  • a thickness of the first substrate 101 a is between about 500 ⁇ m and about 800 ⁇ m.
  • circuitries or electrical components such as transistors, capacitors, resistors, diodes, photodiodes or the like are disposed over the first substrate 101 a.
  • electrical circuitries formed on the first substrate 101 a can be any type of circuitry suitable for a particular application.
  • the electrical circuitries may include various n-type metal-oxide semiconductor (NMOS) and/or p-type metal-oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photodiodes, fuses or the like.
  • the electrical circuitries may be connected to perform one or more functions.
  • the functions may include memory, processing, sensing, amplification, power distribution, input/output, or the like.
  • the first dielectric layer 101 b is disposed on the first substrate 101 a.
  • the first dielectric layer 101 b includes dielectric material such as oxide, nitride, silicon dioxide, silicon nitride, silicon oxynitride, silicon carbide, polymer or the like.
  • the first dielectric layer 101 b includes several dielectric layers stacked over one another.
  • the first dielectric layer 101 b is an interlayer dielectric (ILD) layer.
  • a thickness of the first dielectric layer 101 b is substantially less than 5 ⁇ m. In some embodiments, the thickness of the first dielectric layer 101 b is between about 2 ⁇ m and about 3 ⁇ m.
  • a first component 103 is included in the first wafer 101 a. In some embodiments, the first component 103 is disposed over the first substrate 101 a. In some embodiments, the first component 103 is disposed within the first dielectric layer 101 b. In some embodiments, the first component 103 can be an electrical component or device such as a transistor, capacitor, resistor, diode, photodiode or the like. In some embodiments, the first component 103 is electrically connected to the circuitry disposed within or over the first substrate 101 a.
  • the first component 103 is a conductive feature within the first dielectric layer 101 b.
  • the first component 103 includes conductive material such as copper, aluminum, silver or the like.
  • the first component 103 includes a first conductive pad 103 a and a first conductive via 103 b extending from the first conductive pad 103 a.
  • the first conductive pad 103 a extends laterally in the first dielectric layer 101 b, and the first conductive via 103 b extends vertically in the first dielectric layer 101 b.
  • the first conductive pad 103 a is in contact with the first conductive via 103 b.
  • the second wafer 102 is disposed over the first wafer 101 .
  • the second wafer 102 includes a second substrate 102 a and a second dielectric layer 102 b disposed over the second substrate 102 a.
  • the second substrate 102 a is a semiconductive layer.
  • the second substrate 102 a includes semiconductive material such as silicon, germanium, gallium, arsenic, or a combination thereof.
  • the second substrate 102 a is a silicon layer. In some embodiments, the second substrate 102 a has a configuration similar to that of the first substrate 101 a. In some embodiments, the second substrate 102 a includes a same material as the first substrate 101 a. In some embodiments, the thickness of the first substrate 101 a is substantially greater than a thickness of the second substrate 102 a. In some embodiments, the thickness of the second substrate 102 a is substantially less than or equal to 50 ⁇ m.
  • circuitries or electrical components such as transistors, capacitors, resistors, diodes, photodiodes or the like are disposed over the second substrate 102 a.
  • electrical circuitries formed on the second substrate 102 a can be any type of circuitry suitable for a particular application.
  • the electrical circuitries may include various n-type metal-oxide semiconductor (NMOS) and/or p-type metal-oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photodiodes, fuses or the like.
  • the electrical circuitries may be connected to perform one or more functions.
  • the functions may include memory, processing, sensing, amplification, power distribution, input/output or the like.
  • the second dielectric layer 102 b is disposed on the second substrate 102 a.
  • the second dielectric layer 102 b includes dielectric material such as oxide, nitride, silicon dioxide, silicon nitride, silicon oxynitride, silicon carbide, polymer or the like.
  • the second dielectric layer 102 b includes several dielectric layers stacked over one another.
  • the second dielectric layer 102 b is an interlayer dielectric (ILD) layer.
  • ILD interlayer dielectric
  • a thickness of the second dielectric layer 102 b is substantially less than 5 ⁇ m. In some embodiments, the thickness of the second dielectric layer 102 b is between about 2 ⁇ m and about 3 ⁇ m. In some embodiments, the second dielectric layer 102 b has a configuration similar to that of the first dielectric layer 101 b. In some embodiments, the second dielectric layer 102 b includes a same material as the first dielectric layer 101 b.
  • the second dielectric layer 102 b is bonded to the first dielectric layer 101 b. In some embodiments, the first dielectric layer 101 b is in contact with the second dielectric layer 102 b. In some embodiments, the second dielectric layer 102 b is bonded to the first dielectric layer 101 b by a dielectric-to-dielectric bonding process. In some embodiments, a first interface 106 is present between the first dielectric layer 101 b and the second dielectric layer 102 b. In some embodiments, the first interface 106 may be absent, such that the first dielectric layer 101 b is integral with the second dielectric layer 102 b.
  • a second component 104 is included in the second wafer 102 a. In some embodiments, the second component 104 is disposed over the second substrate 102 a. In some embodiments, the second component 104 is disposed within the second dielectric layer 102 b. In some embodiments, the second component 104 can be an electrical component or device such as a transistor, capacitor, resistor, diode, photodiodes or the like. In some embodiments, the second component 104 is electrically connected to the circuitry disposed within or over the second substrate 102 a. In some embodiments, the second component 104 has a configuration similar to that of the first component 103 . In some embodiments, the second component 104 includes a same material as the first component 103 .
  • the second component 104 is a conductive feature within the second dielectric layer 102 b.
  • the second component 104 includes conductive material such as copper, aluminum, silver or the like.
  • the second component 104 includes a second conductive pad 104 a and a second conductive via 104 b extending from the second conductive pad 104 a.
  • the second conductive pad 104 a extends laterally in the second dielectric layer 102 b, and the second conductive via 104 b extends vertically in the second dielectric layer 102 b. In some embodiments, the second conductive pad 104 a is in contact with the second conductive via 104 b. In some embodiments, the second component 104 is disposed over the first component 103 . In some embodiments, the second component 104 is aligned with the first component 103 .
  • a conductive structure 105 is included in the first semiconductor structure 100 . In some embodiments, the conductive structure 105 is disposed within the first wafer 101 and the second wafer 102 . In some embodiments, the conductive structure 105 is surrounded by the first dielectric layer 101 b, the second dielectric layer 102 b and the second substrate 102 a.
  • the conductive structure 105 is electrically connected to the first component 103 and/or the second component 104 .
  • the first component, the second component and the conductive structure are electrically connected to each other.
  • at least a portion of the conductive structure 105 is disposed between the first component 103 and the second component 104 .
  • the conductive structure 105 includes conductive material such as copper, aluminum, silver or the like.
  • the conductive structure 105 includes a first member 105 a and a second member 105 b protruding from the first member 105 a.
  • the first member 105 a is surrounded by the first dielectric layer 101 b and the second dielectric layer 102 b.
  • the first member 105 a is disposed adjacent to the first interface 106 .
  • the first member 105 a is disposed between two sections of the first interface 106 .
  • the first member 105 a extends from the first dielectric layer 101 b to the second dielectric layer 102 b.
  • the first component 103 and the second component 104 at least partially contact the first member 105 a of the conductive structure 105 .
  • the first member 105 a is disposed between the first component 103 and the second component 104 .
  • the first member 105 a contacts the first conductive via 103 b and the second conductive via 104 b.
  • the second member 105 b is surrounded by the second wafer 102 . In some embodiments, an end of the second member 105 b is coupled to the first member 105 a. In some embodiments, the second member 105 b is integral with the first member 105 a. In some embodiments, at least a surface of the second member 105 b is exposed through an upper surface of the second wafer 102 . In some embodiments, a surface of an end of the second member 105 b is exposed through an upper surface of the second substrate 102 a. In some embodiments, the second member 105 b is disposed adjacent to the second component 104 . That is, the second member 105 b is not disposed over the second component 104 .
  • the second member 105 b extends from the first member 105 a toward the second substrate 102 a. In some embodiments, the second member 105 b extends through the second dielectric layer 102 b. In some embodiments, the second member 105 b extends away from the first wafer 101 . In some embodiments, the second member 105 b extends away from the first substrate 101 a and the first dielectric layer 101 b. In some embodiments, the second member 105 b is substantially orthogonal to the first member 105 a. In some embodiments, a length of the second member 105 b is substantially equal to a total thickness of the second substrate 102 a and the second dielectric layer 102 b. In some embodiments, the second member 105 b is a through substrate via (TSV).
  • TSV through substrate via
  • FIG. 2 is a schematic cross-sectional view of a second semiconductor structure 200 in accordance with some embodiments of the present disclosure.
  • the second semiconductor structure 200 has a configuration similar to that of the first semiconductor structure 100 , except the second member 105 b has a tapered configuration, and an isolating layer 107 and a first seed layer 108 are included.
  • the second member 105 b of the conductive structure 105 is tapered from the second substrate 102 a toward the second dielectric layer 102 b. In some embodiments, a width of a portion of the second member 105 b surrounded by the second substrate 102 a is substantially greater than a width of a portion of the second member 105 b surrounded by the second dielectric layer 102 b.
  • the isolating layer 107 surrounds at least a portion of the second member 105 b of the conductive structure 105 and is disposed between the second dielectric layer 102 b and the second member 105 b of the conductive structure 105 . In some embodiments, the isolating layer 107 is disposed between the second substrate 102 a and the second member 105 b.
  • the isolating layer 107 is absent between the second member 105 b and the second dielectric layer 102 b. That is, a portion of the second member 105 b contacts the second dielectric layer 105 b. In some embodiments, the isolating layer 107 isolates the second member 105 b from the second substrate 102 a. In some embodiments, the isolating layer 107 includes oxide or any other suitable material.
  • the first seed layer 108 surrounds the first member 105 a of the conductive structure 105 . In some embodiments, the first seed layer 108 is disposed between the first member 105 a and the first dielectric layer 101 b and between the first member 105 a and the second dielectric layer 102 b. In some embodiments, the first seed layer 108 includes conductive material. In some embodiments, the first seed layer 108 includes two kinds of conductive materials. In some embodiments, the first seed layer 108 includes titanium and copper.
  • FIG. 3 is a schematic cross-sectional view of a third semiconductor structure 300 in accordance with some embodiments of the present disclosure.
  • the third semiconductor structure 300 has a configuration similar to that of the first semiconductor structure 100 , except that, in the third semiconductor structure 300 , the first member 105 a includes a first part 105 c and a second part 105 d offset from the first part 105 c.
  • the first part 105 c and the second part 105 d are not aligned with each other.
  • the first part 105 c is laterally offset from the second part 105 d.
  • a portion of the first part 105 c contacts the second dielectric layer 102 b, and a portion of the second part 105 d contacts the first dielectric layer 105 b.
  • a portion of the first part 105 c is coupled to a portion of the second part 105 d.
  • the second member 105 b protrudes from the second part 105 d of the first member 105 a.
  • the first component 103 is coupled to the first part 105 c
  • the second component 104 is coupled to the second part 105 d.
  • a width of the first part 105 c is substantially equal to a width of the second part 105 d.
  • FIG. 4 is a schematic cross-sectional view of a fourth semiconductor structure 400 in accordance with some embodiments of the present disclosure.
  • the fourth semiconductor structure 400 has a configuration similar to that of the first semiconductor structure 100 , except that, in the fourth semiconductor structure 400 , the first member 105 a includes the first part 105 c and the second part 105 d, wherein a width of the second part 105 d is different from a width of the first part 105 c.
  • the width of the first part 105 c is substantially different from the width of the second part 105 d. In some embodiments, the width of the first part 105 c is substantially greater than or less than the width of the second part 105 d. In some embodiments, a portion of the first part 105 c contacts the second dielectric layer 102 b, and a portion of the second part 105 d does not contact the first dielectric layer 105 b. In some embodiments, a portion of the first part 105 c does not contact the second dielectric layer 102 b, and a portion of the second part 105 d contacts the first dielectric layer 105 b. In some embodiments, a portion of the first part 105 c is coupled to a portion of the second part 105 d.
  • FIG. 5 is a flow diagram illustrating a first method S 500 of manufacturing a first semiconductor structure 100 in accordance with some embodiments of the present disclosure
  • FIGS. 6 through 17 illustrate cross-sectional views of intermediate stages in the formation of the first semiconductor structure 100 in accordance with some embodiments of the present disclosure.
  • the stages shown in FIGS. 6 to 17 are also illustrated schematically in the flow diagram in FIG. 5 .
  • the fabrication stages shown in FIGS. 6 to 17 are discussed in reference to the process steps shown in FIG. 5 .
  • the first method S 500 includes a number of operations and the description and illustration are not deemed as a limitation as the sequence of the operations.
  • the first method S 500 includes a number of steps (S 501 , S 502 , S 503 , S 504 , S 505 , 5506 and S 507 ).
  • a first wafer 101 including a first substrate 101 a, a first dielectric layer 101 b disposed over the first substrate 101 a, and a first component 103 formed within the first dielectric layer 101 b, is provided according to a step S 501 in FIG. 5 .
  • the first substrate 101 a is a semiconductive layer. In some embodiments, the first substrate 101 a includes semiconductive material such as silicon, germanium, gallium, arsenic, or combinations thereof. In some embodiments, the first substrate 101 a is a silicon layer. In some embodiments, a thickness of the first substrate 101 a is between about 500 ⁇ m and about 800 ⁇ m.
  • the first dielectric layer 101 b is disposed on the first substrate 101 a.
  • the first dielectric layer 101 b is formed by spin coating, plasma-enhanced chemical vapor deposition (PECVD), or any other suitable operation.
  • PECVD plasma-enhanced chemical vapor deposition
  • a planarizing process can be optionally performed on the first dielectric layer 101 b to yield an acceptably flat topology.
  • the first dielectric layer 101 b includes dielectric material such as oxide, nitride, silicon dioxide, silicon nitride, silicon oxynitride, silicon carbide, polymer or the like.
  • the first dielectric layer 101 b includes several dielectric layers stacked over each other.
  • the first dielectric layer 101 b is an interlayer dielectric (ILD) layer.
  • a thickness of the first dielectric layer 101 b is substantially less than 5 ⁇ m.
  • the first component 103 is included in the first wafer 101 . In some embodiments, the first component 103 is disposed within the first dielectric layer 101 b. In some embodiments, the first component 103 can be formed by deposition, etching, implantation, photolithography, annealing or any other suitable operation. In some embodiments, the first component 103 can be an electrical component or device such as a transistor, capacitor, resistor, diode, photodiodes or the like. In some embodiments, the first component 103 is electrically connected to the circuitry disposed within or over the first substrate 101 a.
  • the first component 103 is a conductive feature within the first dielectric layer 101 b.
  • the first component 103 includes conductive material such as copper, aluminum, silver or the like.
  • the first component 103 includes a first conductive pad 103 a and a first conductive via 103 b extending from the first conductive pad 103 a.
  • the first conductive pad 103 a is in contact with the first conductive via 103 b.
  • a second wafer 102 including a second substrate 102 a, a second dielectric layer 102 b disposed over the second substrate 102 a and a second component 104 formed within the second dielectric layer 102 b is provided according to a step S 502 in FIG. 5 .
  • the second substrate 102 a is a semiconductive layer. In some embodiments, the second substrate 102 a includes semiconductive material such as silicon, germanium, gallium, arsenic, or a combination thereof. In some embodiments, the second substrate 102 a is a silicon layer. In some embodiments, the second substrate 102 a has a configuration similar to that of the first substrate 101 a. In some embodiments, the second substrate 102 a includes a same material as the first substrate 101 a. In some embodiments, the thickness of the first substrate 101 a is substantially equal to a thickness of the second substrate 102 a.
  • the second dielectric layer 102 b is disposed on the second substrate 102 a.
  • the second dielectric layer 102 b is formed by spin coating, plasma-enhanced chemical vapor deposition (PECVD) or any other suitable operation.
  • PECVD plasma-enhanced chemical vapor deposition
  • a planarizing process can be optionally performed on the second dielectric layer 102 b to yield an acceptably flat topology.
  • the second dielectric layer 102 b includes dielectric material such as oxide, nitride, silicon dioxide, silicon nitride, silicon oxynitride, silicon carbide, polymer or the like. In some embodiments, the second dielectric layer 102 b includes several dielectric layers stacked over each other. In some embodiments, the second dielectric layer 102 b is an interlayer dielectric (ILD) layer. In some embodiments, a thickness of the second dielectric layer 102 b is substantially less than 5 ⁇ m. In some embodiments, the second dielectric layer 102 b has a configuration similar to that of the first dielectric layer 101 b. In some embodiments, the second dielectric layer 102 b includes a same material as the first dielectric layer 101 b.
  • ILD interlayer dielectric
  • the second component 104 is included in the second wafer 102 . In some embodiments, the second component 104 is disposed within the second dielectric layer 102 b. In some embodiments, the second component 104 can be formed by deposition, etching, implantation, photolithography, annealing or any other suitable operation.
  • the second component 104 can be an electrical component or device such as a transistor, capacitor, resistor, diode, photodiodes or the like. In some embodiments, the second component 104 is electrically connected to the circuitry disposed within or over the second substrate 102 a. In some embodiments, the second component 104 has a configuration similar to that of the first component 103 . In some embodiments, the second component 104 includes a same material as the first component 103 .
  • the second component 104 is a conductive feature within the second dielectric layer 102 b.
  • the second component 104 includes conductive material such as copper, aluminum, silver or the like.
  • the second component 104 includes a second conductive pad 104 a and a second conductive via 104 b extending from the second conductive pad 104 a.
  • a first portion of the first dielectric layer 101 b is removed to form a first recess 101 c according to a step S 503 in FIG. 5 .
  • the first portion of the first dielectric layer 101 b can be removed by photolithography, etching or any other suitable operation.
  • the first recess 101 c is formed by disposing a first patterned photoresist 109 over the first dielectric layer 101 b and removing the first portion of the first dielectric layer 101 b exposed through the first patterned photoresist 109 .
  • the first patterned photoresist 109 is formed by performing an exposure process and a develop process on a photoresist material.
  • the first patterned photoresist 109 is removed after the formation of the first recess 101 c.
  • the first patterned photoresist 109 can be removed by stripping, etching or any other suitable operation.
  • the first recess 101 c exposes at least a portion of the first component 103 . In some embodiments, a portion of the first conductive via 103 b of the first component 103 is exposed by the first recess 101 c. In some embodiments, a portion of the first component 103 is exposed through the first dielectric layer 101 b. In some embodiments, the step S 503 can be implemented prior to the step S 502 .
  • a second portion of the second dielectric layer 102 b is removed to form a second recess 102 c according to a step S 504 in FIG. 5 .
  • the second portion of the second dielectric layer 102 b can be removed by photolithography, etching or any other suitable operation.
  • the second recess 102 c is formed by disposing a second patterned photoresist 110 over the second dielectric layer 102 b and removing the second portion of the second dielectric layer 102 b exposed through the second patterned photoresist 110 .
  • the second patterned photoresist 110 is formed by performing an exposure process and a develop process on a photoresist material.
  • the second patterned photoresist 110 is removed after the formation of the second recess 102 c.
  • the second patterned photoresist 110 can be removed by stripping, etching or any other suitable operation.
  • the second recess 102 c exposes at least a portion of the second component 104 . In some embodiments, a portion of the second conductive via 104 b of the second component 104 is exposed by the second recess 102 c. In some embodiments, a portion of the second component 104 is exposed through the second dielectric layer 102 b.
  • the step S 504 can be implemented after the step S 502 . In some embodiments, the step S 504 can be implemented before or after the step S 503 . In some embodiments, the step S 503 and the step S 504 can be implemented separately or simultaneously.
  • the second wafer 102 is disposed over the first wafer 101 to bond the first dielectric layer 101 b to the second dielectric layer 102 b according to a step S 505 in FIG. 5 .
  • the second wafer 102 is flipped and then disposed over the first wafer 101 .
  • the first dielectric layer 101 b is in contact with the second dielectric layer 102 b.
  • the second wafer 102 is bonded to the first wafer 101 by bonding the first dielectric layer 101 b to the second dielectric layer 102 b.
  • the first dielectric layer 101 b is bonded to the second dielectric layer 102 b by oxide fusion bonding, dielectric-to-dielectric bonding or any other suitable operation.
  • the step S 505 is implemented after the step S 503 and the step S 504 .
  • a first interface 106 is formed between the first dielectric layer 101 b and the second dielectric layer 102 b.
  • the first dielectric layer 101 b is bonded to the second dielectric layer 102 b, so that the first recess 101 c is aligned with the second recess 102 c.
  • the second component 104 is disposed over the first component 103 . In some embodiments, the second component 104 is aligned with the first component 103 .
  • the first dielectric layer 101 b is bonded to the second dielectric layer 102 b, wherein the first recess 101 c is offset from the second recess 102 c in a manner similar to that of the first recess 101 c and the second recess 102 c in the third semiconductor structure 300 described above or illustrated in FIG. 3 .
  • a void 111 is formed after the bonding of the first dielectric layer 101 b to the second dielectric layer 102 b.
  • the void 111 is defined by the first recess 101 c and the second recess 102 c.
  • the void 111 is filled with air or gas.
  • a thickness of the second substrate 102 a is reduced after the step S 505 .
  • the thickness of the second substrate 102 a can be reduced by removing some of the second substrate 102 a from a back side of the second substrate 102 a farthest from the first wafer 101 .
  • the reduction of the thickness of the second substrate 102 a can be implemented by grinding, etching, chemical mechanical polishing (CMP) or any other suitable operation.
  • CMP chemical mechanical polishing
  • the back side of the second substrate 102 a is ground in order to reduce a thickness of the second substrate 102 a and an overall thickness of the second wafer 102 .
  • a third portion of the second substrate 102 a and the second dielectric layer 102 b is removed to form a third recess 102 d according to a step S 506 in FIG. 5 .
  • the thickness of the second substrate 102 a is reduced prior to the step S 506 .
  • the third portion of the second substrate 102 a and the second dielectric layer 102 b can be removed by etching, deep reactive ion etching (DRIE), isotropic etching, laser drilling or any other suitable operation.
  • the third recess 102 d is formed by one or more iterations of etching processes.
  • the third recess 102 d is formed by removing a portion of the second substrate 102 a, and then removing a portion of the second dielectric layer 102 b.
  • the third recess 102 d is coupled to the second recess 102 c.
  • the void 111 is accessible through the third recess 102 d.
  • a conductive material is disposed to fill the first recess 101 c, the second recess 102 c and the third recess 102 d and form a conductive structure 105 according to a step S 507 in FIG. 5 .
  • the conductive material is disposed by electroplating or any other suitable operation.
  • the conductive material includes copper or any other suitable material.
  • the conductive structure 105 is formed by filling the void 111 with the conductive material, and then filling the third recess 102 d with the conductive material.
  • the first recess 101 c and the second recess 102 c are filled with the conductive material after the first dielectric layer 101 b is bonded to the second dielectric layer 102 b (the step S 505 ).
  • the conductive structure 105 including a first member 105 a and a second member 105 b is formed.
  • the first member 105 a is surrounded by the first dielectric layer 101 b and the second dielectric layer 102 b.
  • the second member 105 b is surrounded by the second wafer 102 .
  • the conductive structure 105 is electrically connected to the first component 103 and the second component 104 after the disposing of the conductive material.
  • the first semiconductor structure 100 as shown in FIG. 1 is formed.
  • FIG. 18 is a flow diagram illustrating a second method S 600 of manufacturing a second semiconductor structure 200 in accordance with some embodiments of the present disclosure
  • FIGS. 1 to 13 and 19 to 27 illustrate cross-sectional views of intermediate stages in the formation of the second semiconductor structure 200 in accordance with some embodiments of the present disclosure.
  • the stages shown in FIGS. 1 to 13 and 19 to 27 are also illustrated schematically in the flow diagram in FIG. 18 .
  • the fabrication stages shown in FIGS. 1 to 13 and 19 to 27 are discussed in reference to the process steps shown in FIG. 18 .
  • the second method S 600 includes a number of operations and the description and illustration are not deemed as a limitation as the sequence of the operations.
  • the second method S 600 includes a number of steps (S 601 , S 602 , S 603 , S 604 , S 605 , S 606 , S 607 , S 608 , S 609 , S 610 and S 611 ).
  • Steps 5601 to S 604 are same as the steps S 501 to S 504 described above or illustrated by FIGS. 1 to 13 , and repeated description of such steps is omitted for brevity.
  • a first seed layer 108 is disposed over the first dielectric layer 101 b and the second dielectric layer 102 b respectively according to a step S 605 in FIG. 18 .
  • the first seed layer 108 is conformal to the first recess 101 c and the second recess 102 c.
  • the first seed layer 108 is disposed by deposition, physical vapor deposition (PVD) or any other suitable operation.
  • the first seed layer 108 includes titanium and copper.
  • portions of the first seed layer 108 disposed on the first dielectric layer 101 b and the second dielectric layer 102 b and outside of the first recess 101 c and the second recess 102 c are removed.
  • the second wafer 102 is disposed over the first wafer 101 to bond the first dielectric layer 101 b to the second dielectric layer 102 b according to a step S 606 in FIG. 18 .
  • the step S 606 is same as the step S 505 described above or illustrated in FIG. 14 .
  • a thickness of the second substrate 102 a is reduced after the step S 606 , similar to the step described above or illustrated in FIG. 15 .
  • a first section of the second substrate 102 a and the second dielectric layer 102 b is removed to form a first opening 112 according to a step S 607 in FIG. 18 .
  • the first section of the second substrate 102 a and the second dielectric layer 102 b can be removed by etching, deep reactive ion etching (DRIE), isotropic etching, laser drilling or any other suitable operation.
  • the first opening 112 extends through the second substrate 102 a and partially through the second dielectric layer 102 b.
  • an isolating layer 107 is disposed conformal to the first opening 112 according to a step S 608 in FIG. 18 .
  • the isolating layer 107 is disposed by atomic layer deposition (ALD), sputtering or any other suitable operation.
  • the isolating layer 107 is disposed along sidewalls of the first opening 112 .
  • the isolating layer 107 includes oxide or any other suitable material.
  • a bottom portion of the isolating layer 107 is removed according to a step S 609 in FIG. 18 .
  • the bottom portion of the isolating layer 107 can be removed by etching or any other suitable operation.
  • a thickness of the second dielectric layer 102 b between a bottom of the first opening 112 and the void 111 is about 1 ⁇ m.
  • a thickness of the second dielectric layer 102 b between the bottom of the first opening 112 and the first seed layer 108 is about 1 ⁇ m.
  • a second section of the second substrate 102 a and the second dielectric layer 102 b is removed to form a second opening 113 according to a step S 610 in FIG. 18 .
  • the second section of the second substrate 102 a and the second dielectric layer 102 b can be removed by etching, deep reactive ion etching (DRIE), isotropic etching, laser drilling or any other suitable operation.
  • the second substrate 102 a serves as a hard mask during the removal of the second section.
  • the second section is removed, such that the first opening 112 is coupled to the void 111 through the second opening 113 .
  • the void 111 is now accessible through the first opening 112 and the second opening 113 .
  • the second opening 113 is surrounded by the second dielectric layer 102 b only.
  • the step S 609 and the step S 610 are implemented separately or simultaneously. In some embodiments, the step S 609 is performed prior to the step S 610 .
  • a conductive material is disposed to fill the first recess 101 c, the second recess 102 c, the first opening 112 and the second opening 113 and form a conductive structure 105 according to a step S 611 in FIG. 18 .
  • a second seed layer is disposed conformal to the first recess 101 c, the second recess 102 c, the first opening 112 and the second opening 113 prior to the disposing of the conductive material.
  • the second seed layer is disposed by PVD, sputtering or any other suitable operation.
  • the second seed layer includes titanium and copper.
  • the conductive material is disposed by electroplating or any other suitable operation. In some embodiments, the conductive material includes copper or any other suitable material. In some embodiments, the conductive structure 105 is formed by filling the void 111 with the conductive material, and then filling the first opening 112 and the second opening 113 with the conductive material. In some embodiments, the conductive structure 105 includes a first member 105 a and a second member 105 b.
  • the first member 105 a is surrounded by the first dielectric layer 101 b and the second dielectric layer 102 b. In some embodiments, the second member 105 b is surrounded by the second wafer 102 . In some embodiments, the conductive structure 105 is electrically connected to the first component 103 and the second component 104 after the disposing of the conductive material. In some embodiments, the second semiconductor structure is formed as shown in FIG. 2 .
  • the third semiconductor structure shown in FIG. 3 is formed after the disposing of the conductive material.
  • a second interface between the conductive structure 105 and the first dielectric layer 101 b is formed after the disposing of the conductive material.
  • a third interface between the conductive structure 105 and the second dielectric layer 102 b is formed after the disposing of the conductive material.
  • the semiconductor structure 100 , 200 , 300 or 400 including a void 111 between the first wafer 101 and the second wafer 102 and a conductive structure 105 within the void 111 is formed. Since the conductive structure 105 is formed after bonding of the first wafer 101 and the second wafer 102 , alignment between the first wafer 101 and the second wafer 102 would not adversely affect formation of the conductive structure 105 . Therefore, reliability of the conductive structure 105 and overall performance of the semiconductor structure 100 , 200 , 300 or 400 having such conductive structure 105 can be improved.
  • the semiconductor structure comprises a first wafer including a first dielectric layer and a first component disposed within the first dielectric layer; a second wafer disposed over the first wafer and including a second dielectric layer over the first dielectric layer, and a second component within the second dielectric layer; and a conductive structure including a first member surrounded by the first dielectric layer and the second dielectric layer, and a second member protruding from the first member and surrounded by the second wafer.
  • One aspect of the present disclosure provides a method of manufacturing a semiconductor structure.
  • the method includes steps of providing a first wafer including a first substrate, a first dielectric layer disposed over the first substrate, and a first component formed within the first dielectric layer; providing a second wafer including a second substrate, a second dielectric layer disposed over the second substrate, and a second component formed within the second dielectric layer; removing a first portion of the first dielectric layer to form a first recess; removing a second portion of the second dielectric layer to form a second recess; disposing the second wafer over the first wafer to bond the first dielectric layer to the second dielectric layer; removing a third portion of the second substrate and the second dielectric layer to form a third recess coupled to the second recess; and disposing a conductive material to fill the first recess, the second recess and the third recess to form a conductive structure.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present disclosure provides a semiconductor structure. The semiconductor structure includes a first wafer including a first dielectric layer and a first component disposed within the first dielectric layer; a second wafer disposed over the first wafer and including a second dielectric layer over the first dielectric layer, and a second component within the second dielectric layer; and a conductive structure including a first member surrounded by the first dielectric layer and the second dielectric layer, and a second member protruding from the first member and surrounded by the second wafer. A method of manufacturing the semiconductor structure is also provided.

Description

    TECHNICAL FIELD
  • The present disclosure relates to a semiconductor structure, and a method of manufacturing the semiconductor structure. Particularly, the present disclosure relates to a semiconductor structure having a through via electrically connected to components in two semiconductive wafers or circuitries or components external to the wafers, and a method of manufacturing the semiconductor structure including forming a void between two semiconductive wafers and filling the void with conductive material connecting components within the wafers.
  • DISCUSSION OF THE BACKGROUND
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cellular phones, digital cameras, and other electronic equipment. The fabrication of semiconductor devices involves sequentially depositing various material layers over a semiconductive wafer, and patterning the material layers using lithography and etching processes to form microelectronic components, including transistors, diodes, resistors and/or capacitors, on or in the semiconductive wafer.
  • The semiconductor industry continues to improve the integration density of the microelectronic components by continual reduction of minimum feature size, which allows more components to be integrated into a given area. Smaller package structures with smaller footprints are developed to package the semiconductor devices. For example, in an attempt to further increase density of the semiconductor device, three-dimensional (3D) integrated circuits including stacks of two or more microelectronic components have been investigated.
  • This Discussion of the Background section is provided for background information only. The statements in this Discussion of the Background are not an admission that the subject matter disclosed in this Discussion of the Background section constitute prior art to the present disclosure, and no part of this Discussion of the Background section may be used as an admission that any part of this application, including this Discussion of the Background section, constitutes prior art to the present disclosure.
  • SUMMARY
  • One aspect of the present disclosure provides a semiconductor structure. The semiconductor structure includes a first wafer including a first dielectric layer and a first component disposed within the first dielectric layer; a second wafer disposed over the first wafer and including a second dielectric layer over the first dielectric layer, and a second component disposed within the second dielectric layer; and a conductive structure including a first member surrounded by the first dielectric layer and the second dielectric layer, and a second member protruding from the first member and surrounded by the second wafer.
  • In some embodiments, the first dielectric layer is in contact with the second dielectric layer.
  • In some embodiments, the first component, the second component and the conductive structure are electrically connected to each other.
  • In some embodiments, the first component and the second component at least partially contact the first member of the conductive structure.
  • In some embodiments, a thickness of the first wafer is substantially greater than a thickness of the second wafer.
  • In some embodiments, the first dielectric layer and the second dielectric layer include oxide.
  • In some embodiments, the semiconductor structure further includes an isolating layer surrounding at least a portion of the second member of the conductive structure and disposed between the second dielectric layer and the second member of the conductive structure.
  • In some embodiments, the isolating layer includes oxide.
  • Another aspect of the present disclosure provides a method of manufacturing a semiconductor structure. The method includes steps of providing a first wafer including a first substrate, a first dielectric layer disposed over the first substrate and a first component for iced within the first dielectric layer; providing a second wafer including a second substrate, a second dielectric layer disposed over the second substrate, and a second component formed within the second dielectric layer; removing a first portion of the first dielectric layer to form a first recess; removing a second portion of the second dielectric layer to form a second recess; disposing the second wafer over the first wafer to bond the first dielectric layer to the second dielectric layer; removing a third portion of the second substrate and the second dielectric layer to form a third recess coupled to the second recess; and disposing a conductive material to fill the first recess, the second recess and the third recess to form a conductive structure.
  • In some embodiments, the removal of the first portion of the first dielectric layer includes exposing a portion of the first component through the first dielectric layer.
  • In some embodiments, the removal of the second portion of the second dielectric layer includes exposing a portion of the second component through the second dielectric layer.
  • In some embodiments, the first recess is aligned with the second recess.
  • In some embodiments, a void defined by the first recess and the second recess is formed after the disposing of the second wafer over the first wafer.
  • In some embodiments, the removal of the third portion of the second substrate and the second dielectric layer includes removing a first section of the third portion to form a first opening, disposing an isolating layer conformal to the first opening, removing a bottom portion of the isolating layer, and removing a second section of the third portion to form a second opening.
  • In some embodiments, the conductive material is disposed by electroplating.
  • In some embodiments, the third portion of the second substrate and the second dielectric layer is removed by dry etching or laser drilling.
  • In some embodiments, the disposing of the second wafer over the first wafer includes forming a first interface between the first dielectric layer and the second dielectric layer.
  • In some embodiments, a second interface between the conductive structure and the first dielectric layer or a third interface between the conductive structure and the second dielectric layer is formed after the disposing of the conductive material.
  • In some embodiments, the method further includes grinding the second substrate to reduce a thickness of the second wafer prior to the removal of the third portion of the second substrate and the second dielectric layer.
  • In some embodiments, the first recess and the second recess are filled with the conductive material after the first dielectric layer is bonded to the second dielectric layer.
  • In conclusion, a semiconductor structure including a void between two wafers and a conductive structure within the void is formed. Since the conductive structure is formed after bonding of two wafers, alignment between two wafers would not adversely affect reliability of the conductive structure interconnecting two wafers. Therefore, overall performance of the semiconductor structure having the conductive structure formed after the bonding of two wafers can be improved.
  • The foregoing has outlined rather broadly the features and technical advantages of the present disclosure in order that the detailed description of the disclosure that follows may be better understood. Additional features and technical advantages of the disclosure are described hereinafter, and form the subject of the claims of the disclosure. It should be appreciated by those skilled in the art that the concepts and specific embodiments disclosed may be utilized as a basis for modifying or designing other structures, or processes, for carrying out the purposes of the present disclosure. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit or scope of the disclosure as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more complete understanding of the present disclosure may be derived by referring to the detailed description and claims. The disclosure should also be understood to be coupled to the figures' reference numbers, which refer to similar elements throughout the description.
  • FIG. 1 is a cross-sectional view of a first semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 2 is a cross-sectional view of a second semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a cross-sectional view of a third semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a cross-sectional view of a fourth semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 5 is a flow diagram illustrating a method of manufacturing a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIGS. 6 through 17 illustrate cross-sectional views of intermediate stages in the formation of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIG. 18 is a flow diagram illustrating a method of manufacturing a semiconductor structure in accordance with some embodiments of the present disclosure.
  • FIGS. 19 through 27 illustrate cross-sectional views of intermediate stages in the formation of a semiconductor structure in accordance with some embodiments of the present disclosure.
  • DETAILED DESCRIPTION
  • Embodiments, or examples, of the disclosure illustrated in the drawings are now described using specific language. It shall be understood that no limitation of the scope of the disclosure is hereby intended. Any alteration or modification of the described embodiments, and any further applications of principles described in this document, are to be considered as normally occurring to one of ordinary skill in the art to which the disclosure relates. Reference numerals may be repeated throughout the embodiments, but this does not necessarily mean that feature(s) of one embodiment apply to another embodiment, even if they share the same reference numeral.
  • It shall be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers or sections, these elements, components, regions, layers or sections are not limited by these terms. Rather, these terms are merely used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present inventive concept.
  • The terminology used herein is for the purpose of describing particular example embodiments only and is not intended to be limiting to the present inventive concept. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It shall be understood that the terms “comprises” and “comprising,” when used in this specification, point out the presence of stated features, integers, steps, operations, elements, or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, or groups thereof.
  • FIG. 1 is a schematic cross-sectional view of a first semiconductor structure 100 in accordance with some embodiments of the present disclosure. In some embodiments, the first semiconductor structure 100 is a part of a die, a package or a device. In some embodiments, the first semiconductor structure 100 includes a first wafer 101 and a second wafer 102 stacked over the first wafer 101. In some embodiments, the first wafer 101 is bonded to the second wafer 102. In some embodiments, the second wafer 102 is stacked over the first wafer 101 in front-to-front configuration. In some embodiments, the first wafer 101 is a bottom wafer, and the second wafer 102 is a top wafer. In some embodiments, a thickness of the first wafer 101 is substantially greater than a thickness of the second wafer 102.
  • In some embodiments, the first wafer 101 includes a first substrate 101 a and a first dielectric layer 101 b disposed over the first substrate 101 a. In some embodiments, the first substrate 101 a is a semiconductive layer. In some embodiments, the first substrate 101 a includes semiconductive material such as silicon, germanium, gallium, arsenic, or a combination thereof. In some embodiments, the first substrate 101 a is a silicon layer. In some embodiments, a thickness of the first substrate 101 a is between about 500 μm and about 800 μm.
  • In some embodiments, several circuitries or electrical components such as transistors, capacitors, resistors, diodes, photodiodes or the like are disposed over the first substrate 101 a. In some embodiments, electrical circuitries formed on the first substrate 101 a can be any type of circuitry suitable for a particular application. In some embodiments, the electrical circuitries may include various n-type metal-oxide semiconductor (NMOS) and/or p-type metal-oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photodiodes, fuses or the like. The electrical circuitries may be connected to perform one or more functions. The functions may include memory, processing, sensing, amplification, power distribution, input/output, or the like. One of ordinary skill in the art will appreciate that the above examples are provided for illustrative purposes only and are not intended to limit the various embodiments to any particular application.
  • In some embodiments, the first dielectric layer 101 b is disposed on the first substrate 101 a. In some embodiments, the first dielectric layer 101 b includes dielectric material such as oxide, nitride, silicon dioxide, silicon nitride, silicon oxynitride, silicon carbide, polymer or the like. In some embodiments, the first dielectric layer 101 b includes several dielectric layers stacked over one another. In some embodiments, the first dielectric layer 101 b is an interlayer dielectric (ILD) layer. In some embodiments, a thickness of the first dielectric layer 101 b is substantially less than 5 μm. In some embodiments, the thickness of the first dielectric layer 101 b is between about 2 μm and about 3 μm.
  • In some embodiments, a first component 103 is included in the first wafer 101 a. In some embodiments, the first component 103 is disposed over the first substrate 101 a. In some embodiments, the first component 103 is disposed within the first dielectric layer 101 b. In some embodiments, the first component 103 can be an electrical component or device such as a transistor, capacitor, resistor, diode, photodiode or the like. In some embodiments, the first component 103 is electrically connected to the circuitry disposed within or over the first substrate 101 a.
  • In some embodiments, the first component 103 is a conductive feature within the first dielectric layer 101 b. In some embodiments, the first component 103 includes conductive material such as copper, aluminum, silver or the like. In some embodiments, the first component 103 includes a first conductive pad 103 a and a first conductive via 103 b extending from the first conductive pad 103 a. In some embodiments, the first conductive pad 103 a extends laterally in the first dielectric layer 101 b, and the first conductive via 103 b extends vertically in the first dielectric layer 101 b. In some embodiments, the first conductive pad 103 a is in contact with the first conductive via 103 b.
  • In some embodiments, the second wafer 102 is disposed over the first wafer 101. In some embodiments, the second wafer 102 includes a second substrate 102 a and a second dielectric layer 102 b disposed over the second substrate 102 a. In some embodiments, the second substrate 102 a is a semiconductive layer. In some embodiments, the second substrate 102 a includes semiconductive material such as silicon, germanium, gallium, arsenic, or a combination thereof.
  • In some embodiments, the second substrate 102 a is a silicon layer. In some embodiments, the second substrate 102 a has a configuration similar to that of the first substrate 101 a. In some embodiments, the second substrate 102 a includes a same material as the first substrate 101 a. In some embodiments, the thickness of the first substrate 101 a is substantially greater than a thickness of the second substrate 102 a. In some embodiments, the thickness of the second substrate 102 a is substantially less than or equal to 50 μm.
  • In some embodiments, several circuitries or electrical components such as transistors, capacitors, resistors, diodes, photodiodes or the like are disposed over the second substrate 102 a. In some embodiments, electrical circuitries formed on the second substrate 102 a can be any type of circuitry suitable for a particular application. In some embodiments, the electrical circuitries may include various n-type metal-oxide semiconductor (NMOS) and/or p-type metal-oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photodiodes, fuses or the like. The electrical circuitries may be connected to perform one or more functions. The functions may include memory, processing, sensing, amplification, power distribution, input/output or the like. One of ordinary skill in the art will appreciate that the above examples are provided for illustrative purposes only and are not intended to limit the various embodiments to any particular applications.
  • In some embodiments, the second dielectric layer 102 b is disposed on the second substrate 102 a. In some embodiments, the second dielectric layer 102 b includes dielectric material such as oxide, nitride, silicon dioxide, silicon nitride, silicon oxynitride, silicon carbide, polymer or the like. In some embodiments, the second dielectric layer 102 b includes several dielectric layers stacked over one another. In some embodiments, the second dielectric layer 102 b is an interlayer dielectric (ILD) layer.
  • In some embodiments, a thickness of the second dielectric layer 102 b is substantially less than 5 μm. In some embodiments, the thickness of the second dielectric layer 102 b is between about 2 μm and about 3 μm. In some embodiments, the second dielectric layer 102 b has a configuration similar to that of the first dielectric layer 101 b. In some embodiments, the second dielectric layer 102 b includes a same material as the first dielectric layer 101 b.
  • In some embodiments, the second dielectric layer 102 b is bonded to the first dielectric layer 101 b. In some embodiments, the first dielectric layer 101 b is in contact with the second dielectric layer 102 b. In some embodiments, the second dielectric layer 102 b is bonded to the first dielectric layer 101 b by a dielectric-to-dielectric bonding process. In some embodiments, a first interface 106 is present between the first dielectric layer 101 b and the second dielectric layer 102 b. In some embodiments, the first interface 106 may be absent, such that the first dielectric layer 101 b is integral with the second dielectric layer 102 b.
  • In some embodiments, a second component 104 is included in the second wafer 102 a. In some embodiments, the second component 104 is disposed over the second substrate 102 a. In some embodiments, the second component 104 is disposed within the second dielectric layer 102 b. In some embodiments, the second component 104 can be an electrical component or device such as a transistor, capacitor, resistor, diode, photodiodes or the like. In some embodiments, the second component 104 is electrically connected to the circuitry disposed within or over the second substrate 102 a. In some embodiments, the second component 104 has a configuration similar to that of the first component 103. In some embodiments, the second component 104 includes a same material as the first component 103.
  • In some embodiments, the second component 104 is a conductive feature within the second dielectric layer 102 b. In some embodiments, the second component 104 includes conductive material such as copper, aluminum, silver or the like. In some embodiments, the second component 104 includes a second conductive pad 104 a and a second conductive via 104 b extending from the second conductive pad 104 a.
  • In some embodiments, the second conductive pad 104 a extends laterally in the second dielectric layer 102 b, and the second conductive via 104 b extends vertically in the second dielectric layer 102 b. In some embodiments, the second conductive pad 104 a is in contact with the second conductive via 104 b. In some embodiments, the second component 104 is disposed over the first component 103. In some embodiments, the second component 104 is aligned with the first component 103.
  • In some embodiments, a conductive structure 105 is included in the first semiconductor structure 100. In some embodiments, the conductive structure 105 is disposed within the first wafer 101 and the second wafer 102. In some embodiments, the conductive structure 105 is surrounded by the first dielectric layer 101 b, the second dielectric layer 102 b and the second substrate 102 a.
  • In some embodiments, the conductive structure 105 is electrically connected to the first component 103 and/or the second component 104. The first component, the second component and the conductive structure are electrically connected to each other. In some embodiments, at least a portion of the conductive structure 105 is disposed between the first component 103 and the second component 104. In some embodiments, the conductive structure 105 includes conductive material such as copper, aluminum, silver or the like.
  • In some embodiments, the conductive structure 105 includes a first member 105 a and a second member 105 b protruding from the first member 105 a. In some embodiments, the first member 105 a is surrounded by the first dielectric layer 101 b and the second dielectric layer 102 b. In some embodiments, the first member 105 a is disposed adjacent to the first interface 106. In some embodiments, the first member 105 a is disposed between two sections of the first interface 106. In some embodiments, the first member 105 a extends from the first dielectric layer 101 b to the second dielectric layer 102 b.
  • In some embodiments, the first component 103 and the second component 104 at least partially contact the first member 105 a of the conductive structure 105. In some embodiments, the first member 105 a is disposed between the first component 103 and the second component 104. In some embodiments, the first member 105 a contacts the first conductive via 103 b and the second conductive via 104 b.
  • In some embodiments, the second member 105 b is surrounded by the second wafer 102. In some embodiments, an end of the second member 105 b is coupled to the first member 105 a. In some embodiments, the second member 105 b is integral with the first member 105 a. In some embodiments, at least a surface of the second member 105 b is exposed through an upper surface of the second wafer 102. In some embodiments, a surface of an end of the second member 105 b is exposed through an upper surface of the second substrate 102 a. In some embodiments, the second member 105 b is disposed adjacent to the second component 104. That is, the second member 105 b is not disposed over the second component 104.
  • In some embodiments, the second member 105 b extends from the first member 105 a toward the second substrate 102 a. In some embodiments, the second member 105 b extends through the second dielectric layer 102 b. In some embodiments, the second member 105 b extends away from the first wafer 101. In some embodiments, the second member 105 b extends away from the first substrate 101 a and the first dielectric layer 101 b. In some embodiments, the second member 105 b is substantially orthogonal to the first member 105 a. In some embodiments, a length of the second member 105 b is substantially equal to a total thickness of the second substrate 102 a and the second dielectric layer 102 b. In some embodiments, the second member 105 b is a through substrate via (TSV).
  • FIG. 2 is a schematic cross-sectional view of a second semiconductor structure 200 in accordance with some embodiments of the present disclosure. In some embodiments, the second semiconductor structure 200 has a configuration similar to that of the first semiconductor structure 100, except the second member 105 b has a tapered configuration, and an isolating layer 107 and a first seed layer 108 are included.
  • In some embodiments, the second member 105 b of the conductive structure 105 is tapered from the second substrate 102 a toward the second dielectric layer 102 b. In some embodiments, a width of a portion of the second member 105 b surrounded by the second substrate 102 a is substantially greater than a width of a portion of the second member 105 b surrounded by the second dielectric layer 102 b.
  • In some embodiments, the isolating layer 107 surrounds at least a portion of the second member 105 b of the conductive structure 105 and is disposed between the second dielectric layer 102 b and the second member 105 b of the conductive structure 105. In some embodiments, the isolating layer 107 is disposed between the second substrate 102 a and the second member 105 b.
  • In some embodiments, the isolating layer 107 is absent between the second member 105 b and the second dielectric layer 102 b. That is, a portion of the second member 105 b contacts the second dielectric layer 105 b. In some embodiments, the isolating layer 107 isolates the second member 105 b from the second substrate 102 a. In some embodiments, the isolating layer 107 includes oxide or any other suitable material.
  • In some embodiments, the first seed layer 108 surrounds the first member 105 a of the conductive structure 105. In some embodiments, the first seed layer 108 is disposed between the first member 105 a and the first dielectric layer 101 b and between the first member 105 a and the second dielectric layer 102 b. In some embodiments, the first seed layer 108 includes conductive material. In some embodiments, the first seed layer 108 includes two kinds of conductive materials. In some embodiments, the first seed layer 108 includes titanium and copper.
  • FIG. 3 is a schematic cross-sectional view of a third semiconductor structure 300 in accordance with some embodiments of the present disclosure. In some embodiments, the third semiconductor structure 300 has a configuration similar to that of the first semiconductor structure 100, except that, in the third semiconductor structure 300, the first member 105 a includes a first part 105 c and a second part 105 d offset from the first part 105 c.
  • In some embodiments, the first part 105 c and the second part 105 d are not aligned with each other. The first part 105 c is laterally offset from the second part 105 d. In some embodiments, a portion of the first part 105 c contacts the second dielectric layer 102 b, and a portion of the second part 105 d contacts the first dielectric layer 105 b. In some embodiments, a portion of the first part 105 c is coupled to a portion of the second part 105 d.
  • In some embodiments, the second member 105 b protrudes from the second part 105 d of the first member 105 a. In some embodiments, the first component 103 is coupled to the first part 105 c, and the second component 104 is coupled to the second part 105 d. In some embodiments, a width of the first part 105 c is substantially equal to a width of the second part 105 d.
  • FIG. 4 is a schematic cross-sectional view of a fourth semiconductor structure 400 in accordance with some embodiments of the present disclosure. In some embodiments, the fourth semiconductor structure 400 has a configuration similar to that of the first semiconductor structure 100, except that, in the fourth semiconductor structure 400, the first member 105 a includes the first part 105 c and the second part 105 d, wherein a width of the second part 105 d is different from a width of the first part 105 c.
  • In some embodiments, the width of the first part 105 c is substantially different from the width of the second part 105 d. In some embodiments, the width of the first part 105 c is substantially greater than or less than the width of the second part 105 d. In some embodiments, a portion of the first part 105 c contacts the second dielectric layer 102 b, and a portion of the second part 105 d does not contact the first dielectric layer 105 b. In some embodiments, a portion of the first part 105 c does not contact the second dielectric layer 102 b, and a portion of the second part 105 d contacts the first dielectric layer 105 b. In some embodiments, a portion of the first part 105 c is coupled to a portion of the second part 105 d.
  • FIG. 5 is a flow diagram illustrating a first method S500 of manufacturing a first semiconductor structure 100 in accordance with some embodiments of the present disclosure, and FIGS. 6 through 17 illustrate cross-sectional views of intermediate stages in the formation of the first semiconductor structure 100 in accordance with some embodiments of the present disclosure. The stages shown in FIGS. 6 to 17 are also illustrated schematically in the flow diagram in FIG. 5. In the following discussion, the fabrication stages shown in FIGS. 6 to 17 are discussed in reference to the process steps shown in FIG. 5. The first method S500 includes a number of operations and the description and illustration are not deemed as a limitation as the sequence of the operations. The first method S500 includes a number of steps (S501, S502, S503, S504, S505, 5506 and S507).
  • Referring to FIG. 6, a first wafer 101, including a first substrate 101 a, a first dielectric layer 101 b disposed over the first substrate 101 a, and a first component 103 formed within the first dielectric layer 101 b, is provided according to a step S501 in FIG. 5.
  • In some embodiments, the first substrate 101 a is a semiconductive layer. In some embodiments, the first substrate 101 a includes semiconductive material such as silicon, germanium, gallium, arsenic, or combinations thereof. In some embodiments, the first substrate 101 a is a silicon layer. In some embodiments, a thickness of the first substrate 101 a is between about 500 μm and about 800 μm.
  • In some embodiments, the first dielectric layer 101 b is disposed on the first substrate 101 a. In some embodiments, the first dielectric layer 101 b is formed by spin coating, plasma-enhanced chemical vapor deposition (PECVD), or any other suitable operation. In some embodiments, a planarizing process can be optionally performed on the first dielectric layer 101 b to yield an acceptably flat topology. In some embodiments, the first dielectric layer 101 b includes dielectric material such as oxide, nitride, silicon dioxide, silicon nitride, silicon oxynitride, silicon carbide, polymer or the like. In some embodiments, the first dielectric layer 101 b includes several dielectric layers stacked over each other. In some embodiments, the first dielectric layer 101 b is an interlayer dielectric (ILD) layer. In some embodiments, a thickness of the first dielectric layer 101 b is substantially less than 5 μm.
  • In some embodiments, the first component 103 is included in the first wafer 101. In some embodiments, the first component 103 is disposed within the first dielectric layer 101 b. In some embodiments, the first component 103 can be formed by deposition, etching, implantation, photolithography, annealing or any other suitable operation. In some embodiments, the first component 103 can be an electrical component or device such as a transistor, capacitor, resistor, diode, photodiodes or the like. In some embodiments, the first component 103 is electrically connected to the circuitry disposed within or over the first substrate 101 a.
  • In some embodiments, the first component 103 is a conductive feature within the first dielectric layer 101 b. In some embodiments, the first component 103 includes conductive material such as copper, aluminum, silver or the like. In some embodiments, the first component 103 includes a first conductive pad 103 a and a first conductive via 103 b extending from the first conductive pad 103 a. In some embodiments, the first conductive pad 103 a is in contact with the first conductive via 103 b.
  • Referring to FIG. 7, a second wafer 102 including a second substrate 102 a, a second dielectric layer 102 b disposed over the second substrate 102 a and a second component 104 formed within the second dielectric layer 102 b is provided according to a step S502 in FIG. 5.
  • In some embodiments, the second substrate 102 a is a semiconductive layer. In some embodiments, the second substrate 102 a includes semiconductive material such as silicon, germanium, gallium, arsenic, or a combination thereof. In some embodiments, the second substrate 102 a is a silicon layer. In some embodiments, the second substrate 102 a has a configuration similar to that of the first substrate 101 a. In some embodiments, the second substrate 102 a includes a same material as the first substrate 101 a. In some embodiments, the thickness of the first substrate 101 a is substantially equal to a thickness of the second substrate 102 a.
  • In some embodiments, the second dielectric layer 102 b is disposed on the second substrate 102 a. In some embodiments, the second dielectric layer 102 b is formed by spin coating, plasma-enhanced chemical vapor deposition (PECVD) or any other suitable operation. In some embodiments, a planarizing process can be optionally performed on the second dielectric layer 102 b to yield an acceptably flat topology.
  • In some embodiments, the second dielectric layer 102 b includes dielectric material such as oxide, nitride, silicon dioxide, silicon nitride, silicon oxynitride, silicon carbide, polymer or the like. In some embodiments, the second dielectric layer 102 b includes several dielectric layers stacked over each other. In some embodiments, the second dielectric layer 102 b is an interlayer dielectric (ILD) layer. In some embodiments, a thickness of the second dielectric layer 102 b is substantially less than 5 μm. In some embodiments, the second dielectric layer 102 b has a configuration similar to that of the first dielectric layer 101 b. In some embodiments, the second dielectric layer 102 b includes a same material as the first dielectric layer 101 b.
  • In some embodiments, the second component 104 is included in the second wafer 102. In some embodiments, the second component 104 is disposed within the second dielectric layer 102 b. In some embodiments, the second component 104 can be formed by deposition, etching, implantation, photolithography, annealing or any other suitable operation.
  • In some embodiments, the second component 104 can be an electrical component or device such as a transistor, capacitor, resistor, diode, photodiodes or the like. In some embodiments, the second component 104 is electrically connected to the circuitry disposed within or over the second substrate 102 a. In some embodiments, the second component 104 has a configuration similar to that of the first component 103. In some embodiments, the second component 104 includes a same material as the first component 103.
  • In some embodiments, the second component 104 is a conductive feature within the second dielectric layer 102 b. In some embodiments, the second component 104 includes conductive material such as copper, aluminum, silver or the like. In some embodiments, the second component 104 includes a second conductive pad 104 a and a second conductive via 104 b extending from the second conductive pad 104 a.
  • Referring to FIGS. 8 to 10, a first portion of the first dielectric layer 101 b is removed to form a first recess 101 c according to a step S503 in FIG. 5. In some embodiments, the first portion of the first dielectric layer 101 b can be removed by photolithography, etching or any other suitable operation. In some embodiments, the first recess 101 c is formed by disposing a first patterned photoresist 109 over the first dielectric layer 101 b and removing the first portion of the first dielectric layer 101 b exposed through the first patterned photoresist 109. In some embodiments, the first patterned photoresist 109 is formed by performing an exposure process and a develop process on a photoresist material. In some embodiments, the first patterned photoresist 109 is removed after the formation of the first recess 101 c. In some embodiments, the first patterned photoresist 109 can be removed by stripping, etching or any other suitable operation.
  • In some embodiments, the first recess 101 c exposes at least a portion of the first component 103. In some embodiments, a portion of the first conductive via 103 b of the first component 103 is exposed by the first recess 101 c. In some embodiments, a portion of the first component 103 is exposed through the first dielectric layer 101 b. In some embodiments, the step S503 can be implemented prior to the step S502.
  • Referring to FIGS. 11 to 13, a second portion of the second dielectric layer 102 b is removed to form a second recess 102 c according to a step S504 in FIG. 5. In some embodiments, the second portion of the second dielectric layer 102 b can be removed by photolithography, etching or any other suitable operation. In some embodiments, the second recess 102 c is formed by disposing a second patterned photoresist 110 over the second dielectric layer 102 b and removing the second portion of the second dielectric layer 102 b exposed through the second patterned photoresist 110. In some embodiments, the second patterned photoresist 110 is formed by performing an exposure process and a develop process on a photoresist material. In some embodiments, the second patterned photoresist 110 is removed after the formation of the second recess 102 c. In some embodiments, the second patterned photoresist 110 can be removed by stripping, etching or any other suitable operation.
  • In some embodiments, the second recess 102 c exposes at least a portion of the second component 104. In some embodiments, a portion of the second conductive via 104 b of the second component 104 is exposed by the second recess 102 c. In some embodiments, a portion of the second component 104 is exposed through the second dielectric layer 102 b. In some embodiments, the step S504 can be implemented after the step S502. In some embodiments, the step S504 can be implemented before or after the step S503. In some embodiments, the step S503 and the step S504 can be implemented separately or simultaneously.
  • Referring to FIG. 14, the second wafer 102 is disposed over the first wafer 101 to bond the first dielectric layer 101 b to the second dielectric layer 102 b according to a step S505 in FIG. 5. In some embodiments, the second wafer 102 is flipped and then disposed over the first wafer 101. In some embodiments, the first dielectric layer 101 b is in contact with the second dielectric layer 102 b. In some embodiments, the second wafer 102 is bonded to the first wafer 101 by bonding the first dielectric layer 101 b to the second dielectric layer 102 b. In some embodiments, the first dielectric layer 101 b is bonded to the second dielectric layer 102 b by oxide fusion bonding, dielectric-to-dielectric bonding or any other suitable operation. In some embodiments, the step S505 is implemented after the step S503 and the step S504.
  • In some embodiments, a first interface 106 is formed between the first dielectric layer 101 b and the second dielectric layer 102 b. In some embodiments, the first dielectric layer 101 b is bonded to the second dielectric layer 102 b, so that the first recess 101 c is aligned with the second recess 102 c. In some embodiments, the second component 104 is disposed over the first component 103. In some embodiments, the second component 104 is aligned with the first component 103. In some embodiments, the first dielectric layer 101 b is bonded to the second dielectric layer 102 b, wherein the first recess 101 c is offset from the second recess 102 c in a manner similar to that of the first recess 101 c and the second recess 102 c in the third semiconductor structure 300 described above or illustrated in FIG. 3.
  • In some embodiments, a void 111 is formed after the bonding of the first dielectric layer 101 b to the second dielectric layer 102 b. In some embodiments, the void 111 is defined by the first recess 101 c and the second recess 102 c. In some embodiments, the void 111 is filled with air or gas.
  • In some embodiments, referring to FIG. 15, a thickness of the second substrate 102 a is reduced after the step S505. In some embodiments, the thickness of the second substrate 102 a can be reduced by removing some of the second substrate 102 a from a back side of the second substrate 102 a farthest from the first wafer 101. In some embodiments, the reduction of the thickness of the second substrate 102 a can be implemented by grinding, etching, chemical mechanical polishing (CMP) or any other suitable operation. In some embodiments, the back side of the second substrate 102 a is ground in order to reduce a thickness of the second substrate 102 a and an overall thickness of the second wafer 102.
  • Referring to FIG. 16, a third portion of the second substrate 102 a and the second dielectric layer 102 b is removed to form a third recess 102 d according to a step S506 in FIG. 5. In some embodiments, the thickness of the second substrate 102 a is reduced prior to the step S506. In some embodiments, the third portion of the second substrate 102 a and the second dielectric layer 102 b can be removed by etching, deep reactive ion etching (DRIE), isotropic etching, laser drilling or any other suitable operation. In some embodiments, the third recess 102 d is formed by one or more iterations of etching processes. For example, the third recess 102 d is formed by removing a portion of the second substrate 102 a, and then removing a portion of the second dielectric layer 102 b. In some embodiments, the third recess 102 d is coupled to the second recess 102 c. In some embodiments, the void 111 is accessible through the third recess 102 d.
  • Referring to FIG. 17, a conductive material is disposed to fill the first recess 101 c, the second recess 102 c and the third recess 102 d and form a conductive structure 105 according to a step S507 in FIG. 5. In some embodiments, the conductive material is disposed by electroplating or any other suitable operation. In some embodiments, the conductive material includes copper or any other suitable material. In some embodiments, the conductive structure 105 is formed by filling the void 111 with the conductive material, and then filling the third recess 102 d with the conductive material. In some embodiments, the first recess 101 c and the second recess 102 c are filled with the conductive material after the first dielectric layer 101 b is bonded to the second dielectric layer 102 b (the step S505).
  • In some embodiments, the conductive structure 105 including a first member 105 a and a second member 105 b is formed. In some embodiments, the first member 105 a is surrounded by the first dielectric layer 101 b and the second dielectric layer 102 b. In some embodiments, the second member 105 b is surrounded by the second wafer 102. In some embodiments, the conductive structure 105 is electrically connected to the first component 103 and the second component 104 after the disposing of the conductive material. In some embodiments, the first semiconductor structure 100 as shown in FIG. 1 is formed.
  • FIG. 18 is a flow diagram illustrating a second method S600 of manufacturing a second semiconductor structure 200 in accordance with some embodiments of the present disclosure, and FIGS. 1 to 13 and 19 to 27 illustrate cross-sectional views of intermediate stages in the formation of the second semiconductor structure 200 in accordance with some embodiments of the present disclosure. The stages shown in FIGS. 1 to 13 and 19 to 27 are also illustrated schematically in the flow diagram in FIG. 18. In the following discussion, the fabrication stages shown in FIGS. 1 to 13 and 19 to 27 are discussed in reference to the process steps shown in FIG. 18. The second method S600 includes a number of operations and the description and illustration are not deemed as a limitation as the sequence of the operations. The second method S600 includes a number of steps (S601, S602, S603, S604, S605, S606, S607, S608, S609, S610 and S611).
  • Steps 5601 to S604 are same as the steps S501 to S504 described above or illustrated by FIGS. 1 to 13, and repeated description of such steps is omitted for brevity.
  • Referring to FIGS. 19 to 20, a first seed layer 108 is disposed over the first dielectric layer 101 b and the second dielectric layer 102 b respectively according to a step S605 in FIG. 18. In some embodiments, the first seed layer 108 is conformal to the first recess 101 c and the second recess 102 c.
  • In some embodiments, the first seed layer 108 is disposed by deposition, physical vapor deposition (PVD) or any other suitable operation. In some embodiments, the first seed layer 108 includes titanium and copper. In some embodiments, portions of the first seed layer 108 disposed on the first dielectric layer 101 b and the second dielectric layer 102 b and outside of the first recess 101 c and the second recess 102 c are removed.
  • Referring to FIG. 21, the second wafer 102 is disposed over the first wafer 101 to bond the first dielectric layer 101 b to the second dielectric layer 102 b according to a step S606 in FIG. 18. The step S606 is same as the step S505 described above or illustrated in FIG. 14. In some embodiments, referring to FIG. 22, a thickness of the second substrate 102 a is reduced after the step S606, similar to the step described above or illustrated in FIG. 15.
  • Referring to FIG. 23, a first section of the second substrate 102 a and the second dielectric layer 102 b is removed to form a first opening 112 according to a step S607 in FIG. 18. In some embodiments, the first section of the second substrate 102 a and the second dielectric layer 102 b can be removed by etching, deep reactive ion etching (DRIE), isotropic etching, laser drilling or any other suitable operation. In some embodiments, the first opening 112 extends through the second substrate 102 a and partially through the second dielectric layer 102 b.
  • Referring to FIG. 24, an isolating layer 107 is disposed conformal to the first opening 112 according to a step S608 in FIG. 18. In some embodiments, the isolating layer 107 is disposed by atomic layer deposition (ALD), sputtering or any other suitable operation. In some embodiments, the isolating layer 107 is disposed along sidewalls of the first opening 112. In some embodiments, the isolating layer 107 includes oxide or any other suitable material.
  • Referring to FIG. 25, a bottom portion of the isolating layer 107 is removed according to a step S609 in FIG. 18. In some embodiments, the bottom portion of the isolating layer 107 can be removed by etching or any other suitable operation. In some embodiments, a thickness of the second dielectric layer 102 b between a bottom of the first opening 112 and the void 111 is about 1 μm. In some embodiments, a thickness of the second dielectric layer 102 b between the bottom of the first opening 112 and the first seed layer 108 is about 1 μm.
  • Referring to FIG. 26, a second section of the second substrate 102 a and the second dielectric layer 102 b is removed to form a second opening 113 according to a step S610 in FIG. 18. In some embodiments, the second section of the second substrate 102 a and the second dielectric layer 102 b can be removed by etching, deep reactive ion etching (DRIE), isotropic etching, laser drilling or any other suitable operation. In some embodiments, the second substrate 102 a serves as a hard mask during the removal of the second section. In some embodiments, the second section is removed, such that the first opening 112 is coupled to the void 111 through the second opening 113. The void 111 is now accessible through the first opening 112 and the second opening 113. In some embodiments, the second opening 113 is surrounded by the second dielectric layer 102 b only. In some embodiments, the step S609 and the step S610 are implemented separately or simultaneously. In some embodiments, the step S609 is performed prior to the step S610.
  • Referring to FIG. 27, a conductive material is disposed to fill the first recess 101 c, the second recess 102 c, the first opening 112 and the second opening 113 and form a conductive structure 105 according to a step S611 in FIG. 18. In some embodiments, a second seed layer is disposed conformal to the first recess 101 c, the second recess 102 c, the first opening 112 and the second opening 113 prior to the disposing of the conductive material. In some embodiments, the second seed layer is disposed by PVD, sputtering or any other suitable operation. In some embodiments, the second seed layer includes titanium and copper.
  • In some embodiments, the conductive material is disposed by electroplating or any other suitable operation. In some embodiments, the conductive material includes copper or any other suitable material. In some embodiments, the conductive structure 105 is formed by filling the void 111 with the conductive material, and then filling the first opening 112 and the second opening 113 with the conductive material. In some embodiments, the conductive structure 105 includes a first member 105 a and a second member 105 b.
  • In some embodiments, the first member 105 a is surrounded by the first dielectric layer 101 b and the second dielectric layer 102 b. In some embodiments, the second member 105 b is surrounded by the second wafer 102. In some embodiments, the conductive structure 105 is electrically connected to the first component 103 and the second component 104 after the disposing of the conductive material. In some embodiments, the second semiconductor structure is formed as shown in FIG. 2.
  • In some embodiments, if the first recess 101 c is offset from the second recess 102 c, the third semiconductor structure shown in FIG. 3 is formed after the disposing of the conductive material. In some embodiments, a second interface between the conductive structure 105 and the first dielectric layer 101 b is formed after the disposing of the conductive material. In some embodiments, a third interface between the conductive structure 105 and the second dielectric layer 102 b is formed after the disposing of the conductive material.
  • In conclusion, the semiconductor structure 100, 200, 300 or 400 including a void 111 between the first wafer 101 and the second wafer 102 and a conductive structure 105 within the void 111 is formed. Since the conductive structure 105 is formed after bonding of the first wafer 101 and the second wafer 102, alignment between the first wafer 101 and the second wafer 102 would not adversely affect formation of the conductive structure 105. Therefore, reliability of the conductive structure 105 and overall performance of the semiconductor structure 100, 200, 300 or 400 having such conductive structure 105 can be improved.
  • One aspect of the present disclosure provides a semiconductor structure. The semiconductor structure comprises a first wafer including a first dielectric layer and a first component disposed within the first dielectric layer; a second wafer disposed over the first wafer and including a second dielectric layer over the first dielectric layer, and a second component within the second dielectric layer; and a conductive structure including a first member surrounded by the first dielectric layer and the second dielectric layer, and a second member protruding from the first member and surrounded by the second wafer.
  • One aspect of the present disclosure provides a method of manufacturing a semiconductor structure. The method includes steps of providing a first wafer including a first substrate, a first dielectric layer disposed over the first substrate, and a first component formed within the first dielectric layer; providing a second wafer including a second substrate, a second dielectric layer disposed over the second substrate, and a second component formed within the second dielectric layer; removing a first portion of the first dielectric layer to form a first recess; removing a second portion of the second dielectric layer to form a second recess; disposing the second wafer over the first wafer to bond the first dielectric layer to the second dielectric layer; removing a third portion of the second substrate and the second dielectric layer to form a third recess coupled to the second recess; and disposing a conductive material to fill the first recess, the second recess and the third recess to form a conductive structure.
  • Although the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. For example, many of the processes discussed above can be implemented in different methodologies and replaced by other processes, or a combination thereof.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill. in the art will readily appreciate from the present disclosure, processes, machines, manufacture, compositions of matter, means, methods or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein, may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods and steps.

Claims (20)

1. A semiconductor structure, comprising:
a first wafer including a first dielectric layer and a first component disposed within the first dielectric layer;
a second wafer disposed over the first wafer and including a second dielectric layer over the first dielectric layer, and a second component within the second dielectric layer; and
a conductive structure including a first member surrounded by the first dielectric layer and the second dielectric layer, and a second member protruding from the first member and surrounded by the second wafer, wherein the first member of the conductive structure includes a first part surrounded by the first dielectric layer and a second part surrounded by the second dielectric layer, wherein a portion of the first part is contacted with the second dielectric layer, or a portion of the second part is contacted with the first dielectric layer.
2. The semiconductor structure of claim 1, wherein the first dielectric layer is in contact with the second dielectric layer.
3. The semiconductor structure of claim 1, wherein the first component, the second component and the conductive structure are electrically connected to each other.
4. The semiconductor structure of claim 1, wherein the first component and the second component at least partially contact the first member of the conductive structure.
5. The semiconductor structure of claim 1, wherein a thickness of the first wafer is substantially greater than a thickness of the second wafer.
6. The semiconductor structure of claim 1, wherein the first dielectric layer and the second dielectric layer include oxide.
7. The semiconductor structure of claim 1, further comprising an isolating layer surrounding at least a portion of the second member of the conductive structure and disposed between the second dielectric layer and the second member of the conductive structure.
8. The semiconductor structure of claim 7, wherein the isolating layer includes oxide.
9. A method of manufacturing a semiconductor structure, comprising:
providing a first wafer including a first substrate, a first dielectric layer disposed over the first substrate, and a first component formed within the first dielectric layer;
providing a second wafer including a second substrate, a second dielectric layer disposed over the second substrate, and a second component formed within the second dielectric layer; removing a first portion of the first dielectric layer to form a first recess;
removing a second portion of the second dielectric layer to form a second recess;
disposing the second wafer over the first wafer to bond the first dielectric layer to the second dielectric layer;
removing a third portion of the second substrate and the second dielectric layer to form a third recess coupled to the second recess; and
disposing a conductive material to fill the first recess, the second recess and the third recess to form a conductive structure.
10. The method of claim 9, wherein the removal of the first portion of the first dielectric layer includes exposing a portion of the first component through the first dielectric layer.
11. The method of claim 10, wherein the removal of the second portion of the second dielectric layer includes exposing a portion of the second component through the second dielectric layer.
12. The method of claim 9, wherein the first recess is aligned with the second recess.
13. The method of claim 9, wherein a void defined by the first recess and the second recess is formed after the disposing of the second wafer over the first wafer.
14. The method of claim 9, wherein the removal of the third portion of the second substrate and the second dielectric layer includes removing a first section of the third portion to form a first opening, disposing an isolating layer conformal to the first opening, removing a bottom portion of the isolating layer, and removing a second section of the third portion to form a second opening.
15. The method of claim 9, wherein the conductive material is disposed by electroplating.
16. The method of claim 9, wherein the third portion of the second substrate and the second dielectric layer is removed by dry etching or laser drilling.
17. The method of claim 9, wherein the disposing of the second wafer over the first wafer includes forming a first interface between the first dielectric layer and the second dielectric layer.
18. The method of claim 9, wherein a second interface between the conductive structure and the first dielectric layer or a third interface between the conductive structure and the second dielectric layer is formed after the disposing of the conductive material.
19. The method of claim 9, further comprising grinding the second substrate to reduce a thickness of the second wafer prior to the removal of the third portion of the second substrate and the second dielectric layer.
20. The method of claim 9, wherein the first recess and the second recess are filled with the conductive material after the first dielectric layer is bonded to the second dielectric layer.
US16/857,920 2020-04-24 2020-04-24 Semiconductor structure having void between bonded wafers and manufacturing method tehreof Abandoned US20210335660A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US16/857,920 US20210335660A1 (en) 2020-04-24 2020-04-24 Semiconductor structure having void between bonded wafers and manufacturing method tehreof
TW110113608A TWI763432B (en) 2020-04-24 2021-04-15 Semiconductor structure and manufacturing method thereof
CN202110435471.5A CN113555339B (en) 2020-04-24 2021-04-22 Semiconductor structure and preparation method thereof
US17/511,211 US11676857B2 (en) 2020-04-24 2021-10-26 Method for preparing semiconductor structure having void between bonded wafers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/857,920 US20210335660A1 (en) 2020-04-24 2020-04-24 Semiconductor structure having void between bonded wafers and manufacturing method tehreof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/511,211 Division US11676857B2 (en) 2020-04-24 2021-10-26 Method for preparing semiconductor structure having void between bonded wafers

Publications (1)

Publication Number Publication Date
US20210335660A1 true US20210335660A1 (en) 2021-10-28

Family

ID=78101812

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/857,920 Abandoned US20210335660A1 (en) 2020-04-24 2020-04-24 Semiconductor structure having void between bonded wafers and manufacturing method tehreof
US17/511,211 Active 2040-08-10 US11676857B2 (en) 2020-04-24 2021-10-26 Method for preparing semiconductor structure having void between bonded wafers

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/511,211 Active 2040-08-10 US11676857B2 (en) 2020-04-24 2021-10-26 Method for preparing semiconductor structure having void between bonded wafers

Country Status (3)

Country Link
US (2) US20210335660A1 (en)
CN (1) CN113555339B (en)
TW (1) TWI763432B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220320044A1 (en) * 2021-03-31 2022-10-06 Taiwan Semiconductor Manufacturing Company Limited Bonded wafer device structure and methods for making the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150235949A1 (en) * 2014-02-20 2015-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Functional Block Stacked 3DIC and Method of Making Same
US20190385935A1 (en) * 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9799562B2 (en) * 2009-08-21 2017-10-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US8796135B2 (en) * 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US9006896B2 (en) * 2012-05-07 2015-04-14 Xintec Inc. Chip package and method for forming the same
US10096515B2 (en) * 2013-03-15 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for stacked device
US11393779B2 (en) * 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11004733B2 (en) * 2018-06-29 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protection structures for bonded wafers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150235949A1 (en) * 2014-02-20 2015-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Functional Block Stacked 3DIC and Method of Making Same
US20190385935A1 (en) * 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220320044A1 (en) * 2021-03-31 2022-10-06 Taiwan Semiconductor Manufacturing Company Limited Bonded wafer device structure and methods for making the same
US11621248B2 (en) * 2021-03-31 2023-04-04 Taiwan Semiconductor Manufacturing Company Limited Bonded wafer device structure and methods for making the same
US11961826B2 (en) * 2021-03-31 2024-04-16 Taiwan Semiconductor Manufacturing Company Limited Bonded wafer device structure and methods for making the same

Also Published As

Publication number Publication date
TWI763432B (en) 2022-05-01
US20220044963A1 (en) 2022-02-10
US11676857B2 (en) 2023-06-13
CN113555339A (en) 2021-10-26
TW202209611A (en) 2022-03-01
CN113555339B (en) 2024-05-07

Similar Documents

Publication Publication Date Title
US11605596B2 (en) Semiconductor device having through silicon vias
TWI553802B (en) Silicon interposer structure, package structure and method of forming silicon interposer structure
US11728277B2 (en) Method for manufacturing semiconductor structure having via through bonded wafers
US11676857B2 (en) Method for preparing semiconductor structure having void between bonded wafers
KR101496550B1 (en) Method for forming interconnect structure
US11894247B2 (en) Method of manufacturing semiconductor device having hybrid bonding interface
CN112736054B (en) Semiconductor element and method for manufacturing the same
US11063012B1 (en) Semiconductor structure having buffer under bump pad and manufacturing method thereof
US10811382B1 (en) Method of manufacturing semiconductor device
US11094655B2 (en) Semiconductor structure and method for forming the same
TW201742104A (en) Semiconductor devices, methods of manufacture thereof, and methods of singulating semiconductor devices
US20230386909A1 (en) Manufacturing method of semiconductor structure having elastic member within via
US20230386968A1 (en) Semiconductor structure having elastic member within via
US20240096828A1 (en) Semiconductor structure having dummy conductive member and manufacturing method thereof
US20230369210A1 (en) Method of manufacturing semiconductor structure having vias with different dimensions
US20230369264A1 (en) Semiconductor structure having vias with different dimensions and manufacturing method thereof
US20240063151A1 (en) Semiconductor structure having conductive pad with protrusion and manufacturing method thereof
CN116387170A (en) Semiconductor package and method of forming the same
TW202414762A (en) Semiconductor device including insulating structure surrounding through via and method for forming the same
WO2023151939A1 (en) Semiconductor structure with a buried power rail
TW202303846A (en) Semiconductor device with air gap below landing pad and method for preparing the same
CN115939069A (en) Semiconductor element with bonding wire and preparation method thereof
CN113039643A (en) On-chip capacitor in semiconductor device and method of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: NANYA TECHNOLOGY CORPORATION, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CHIU, HSISH-YANG;REEL/FRAME:052548/0307

Effective date: 20200217

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION