CN103377911B - 提高化学机械平坦化工艺均匀性的方法 - Google Patents

提高化学机械平坦化工艺均匀性的方法 Download PDF

Info

Publication number
CN103377911B
CN103377911B CN201210112494.3A CN201210112494A CN103377911B CN 103377911 B CN103377911 B CN 103377911B CN 201210112494 A CN201210112494 A CN 201210112494A CN 103377911 B CN103377911 B CN 103377911B
Authority
CN
China
Prior art keywords
sealing coat
feature
medium sealing
medium
cmp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210112494.3A
Other languages
English (en)
Other versions
CN103377911A (zh
Inventor
杨涛
赵超
李俊峰
侯瑞兵
卢泓
卢一泓
崔虎山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210112494.3A priority Critical patent/CN103377911B/zh
Priority to US13/698,283 priority patent/US8647987B2/en
Priority to PCT/CN2012/000802 priority patent/WO2013155650A1/zh
Publication of CN103377911A publication Critical patent/CN103377911A/zh
Application granted granted Critical
Publication of CN103377911B publication Critical patent/CN103377911B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了一种提高化学机械平坦化工艺均匀性的方法,包括以下步骤:在衬底上形成特征;在特征之间形成第一介质隔离层;平坦化第一介质隔离层,直至暴露特征,使得特征之间的第一介质隔离层具有凹陷深度;在特征以及介质隔离层上形成第二介质隔离层,降低特征之间与特征顶部第二介质隔离层的高度差;平坦化第二介质隔离层,直至暴露特征。依照本发明的提高化学机械平坦化工艺均匀性的方法,在研磨特征顶部的介质隔离层之后再次形成介质隔离层,使得特征之间与特征顶部介质层高度差有效降低,并补偿了特征的凹陷,有效提高了晶圆芯片内部的均匀性。

Description

提高化学机械平坦化工艺均匀性的方法
技术领域
本发明涉及一种半导体器件的制造方法,更具体地讲,涉及一种提高化学机械平坦化工艺均匀性的方法。
背景技术
随高K/金属栅工程在45纳米技术节点上的成功应用,使其成为亚30纳米以下技术节点不可缺少的关键模块化工程。高K/金属栅分为前栅(gate first)工艺和后栅(gate last)工艺。由于后栅工艺避免了离子注入高温退火对高K/金属栅功函数的负面影响,因此目前已经成为主流工艺。目前只有坚持高K/后金属栅(gate last)路线的英特尔公司在45纳米和32纳米量产上取得了成功。近年来紧随IBM产业联盟的三星,台积电,英飞凌等业界巨头也将之前开发的重点由高K/先金属栅(gate first)转向gate last工程。
gate last工艺集成中化学机械平坦化(CMP)工艺的开发被业界认为最具挑战性。常规gate last工艺中,需采用CMP工艺将衬底1上多晶硅栅(poly gate)2顶部的氧化硅隔离层4和氮化硅隔离层3磨掉,露出多晶硅栅2的顶端后停止研磨,此步CMP工艺称为打开多晶硅栅顶部的CMP,即Poly opening nitride polish CMP,简称POPCMP,如图1A和图1B所示所示。而后去除多晶硅栅,在留下的沟槽内填充进不同的金属层5,再进行一步或多步金属层的化学机械抛光,此步CMP工艺即metal gate CMP,仅留下栅内的金属,从而最终得到高K/金属栅结构,如图2A、2B所示。
第一道POP CMP包括两个步骤的CMP,一是氧化硅的CMP,一是氮化硅的CMP。这两步CMP对晶圆芯片内部研磨均匀性(withinin die uniformity)均有着很高的要求。其中,对第一步氧化硅CMP工艺的研磨均匀性控制最为关键。由于器件密度较大,且淀积氧化硅层4前存在栅高度的落差,约1000- 这导致氧化硅淀积后多晶栅顶与源漏区的氧化硅厚度落差可达1000- 甚至更多,如图1A所示。随技术节点不断缩小,在45纳米以下,常规CMP在改善晶圆芯片内部研磨均匀性方面遇到了极大挑战。除机台硬件配置进行革新外,选择合适的研磨液和研磨垫是提高芯片内研磨均匀性的有效方法。由于涉及各家公司的技术机密,目前鲜有公开的文献或专利报道。如果采用常规氧化硅CMP技术将无法有效消除这种较大的厚度落差,这种落差会随抛光过程的进行,一直遗传到氧化硅抛光结束,这会造成多晶栅之间氧化硅大的凹陷。即使下一步氮化硅CMP也很难修复,并且由于材料选择比的不同,还可能将这种氧化硅凹陷进一步放大,如图1B所示,栅间氧化硅具有第一凹陷深度H1,例如 大的氧化硅层4的凹陷直接会给metal gate CMP工艺造成巨大障碍,极大压缩该工艺的调整空间。如图2A和图2B所示,金属层5填充了栅极凹槽的同时也残留在栅极之间的氧化硅层4的凹陷处,在后续的金属栅CMP时造成器件短路。类似地,对于其他的需要平坦化处理的特征,例如鳍形结构、多栅结构、MEMS结构等等,也存在类似的问题,特征之间的介质隔离层凹陷在后续处理过程中会遗传、放大,造成特征的失效。
为此,急需一种能有效地针对POP CMP工艺提高晶圆芯片内部研磨均匀性的方法。
发明内容
因此,本发明的目的在于提出一种提高晶圆芯片内部研磨均匀性的方法,特别是有效地针对POP CMP工艺来提高后续金属栅极CMP均匀性。。
本发明提供了一种提高化学机械平坦化工艺均匀性的方法,包括以下步骤:在衬底上形成特征;在特征之间形成第一介质隔离层;平坦化第一介质隔离层,直至暴露特征,使得特征之间的第一介质隔离层具有凹陷深度;在特征以及介质隔离层上形成第二介质隔离层,至少重新填满第一次化学机械平坦化造成的介质隔离层凹陷,从而降低特征之间与特征顶部第二介质隔离层的高度差;平坦化第二介质隔离层,直至暴露特征。
第二介质隔离层与第一介质隔离层材质相同。
其中,平坦化第一介质隔离层的步骤之后、形成第二介质隔离层之前,还包括步骤:刻蚀第一介质隔离层,增大第一介质隔离层的凹陷深度。其中,采用干法刻蚀和/或湿法刻蚀来刻蚀第一介质层。
其中,方法进一步包括:在形成特征之后、形成第一介质隔离层之前,还在特征顶部以及侧壁形成特征保护层;平坦化第一介质隔离层的步骤进一步包括,平坦化第一介质隔离层直至暴露特征顶部的特征保护层;平坦化特征顶部的特征保护层,直至暴露特征。
其中,特征包括半导体材料、绝缘材料、导电材料,半导体材料包括多晶硅、非晶硅、微晶硅及其组合,绝缘材料包括氧化硅、氮化硅、氮氧化硅、高k材料及其组合,导电材料包括金属、金属合金、金属氮化物及其组合。
其中,第一介质隔离层、第二介质隔离层、特征保护层包括氧化物、氮化物、氮氧化物及其组合。
其中,采用CMP平坦化,通过CMP设备自带的终点探测***自动停止研磨,或者通过控制研磨时间停止研磨。
依照本发明的提高化学机械平坦化工艺均匀性的方法,在研磨特征顶部的介质隔离层之后再次形成介质隔离层,使得特征之间与特征顶部介质层高度差有效降低,并补偿了特征之间介质层的凹陷,有效提高了晶圆芯片内部的均匀性。
附图说明
以下参照附图来详细说明本发明的技术方案,其中:
图1A和图1B显示了现有技术的后栅工艺剖视图,其中CMP氧化硅层直至暴露伪栅极;
图2A和图2B显示了现有技术的后栅工艺剖视图,其中去除伪栅极之后填充金属栅极并CMP直至暴露氮化硅层;以及
图3至图5依次显示了依照本发明的方法各步骤的剖面示意图。
具体实施方式
以下参照附图并结合示意性的实施例来详细说明本发明技术方案的特征及其技术效果,公开了提高化学机械平坦化工艺均匀性的方法。需要指出的是,类似的附图标记表示类似的结构。
在POP CMP工艺中,例如多晶栅的特征之间产生的例如氧化硅的介质隔离层的凹陷本质是因为结构与例如源漏区的特征之间部分的高度差造成的;即生长介质隔离层后,特征顶部介质隔离层高于特征之间介质隔离层,这不利于CMP过程对特征之间介质隔离层凹陷的控制。本申请的设计思路是通过第一次CMP平坦化以及二次生长介质层,在修复介质隔离层凹陷的基础上,大幅度降低特征顶部介质隔离层与特征间介质隔离层的高度差,并进行第二次CMP平坦化,得到特征结构;此方法可有效提高晶圆芯片内部的均匀性。
首先,形成特征和特征之间的第一介质隔离层,并进行平坦化处理,例如完成现有的POP CMP工艺,特征之间的介质隔离层具有凹陷深度。提供衬底1,依照器件电学性能需要而可采用各种衬底材料,例如包括单晶硅、绝缘体上硅(SOI)、单晶锗、绝缘体上锗(GeOI)、纳米线,或者SiGe、SiC、InSb、GaAs、GaN等其他化合物半导体材料。在衬底1上形成特征2,特征2包括但不限于伪栅极、衬底材料的鳍形结构、MEMS工艺的梁结构、刻蚀工艺中的硬掩膜中间结构、外延生长的抬升结构等等。特征2的材质包括但不限于半导体材料、绝缘材料、导电材料,半导体材料例如为多晶硅、非晶硅或微晶硅以用于伪栅极或者鳍形结构,绝缘材料例如为氧化硅、氮化硅、氮氧化硅或高k材料,导电材料例如为金属、金属合金、金属氮化物以应用于金属栅极或者金属接触塞线等。优选地,特征2是用于后栅工艺的伪栅极,为多晶硅、非晶硅或微晶硅。形成特征2的方法依照其材质不同而可以选择APCVD、LPCVD、PECVD、HDPCVD、MOCVD、ALD、溅射等各种常规沉积方法。通过先沉积后光刻/刻蚀的常规方法,在特征2顶部以及侧面上以类似的常规方法沉积形成特征保护层3,特征保护层3的材质例如为氮化硅、氮氧化硅,具有较高的硬度以及较好的刻蚀选择性,并优选具有较高的应力以便于适用于向衬底施加应力以提高MOSFET等器件的沟道区载流子迁移率。在整个器件上沉积第一介质隔离层4,其材质例如为氧化硅或氮氧化硅。在介质隔离层淀积完后,使用针对氧化物的第一介质隔离层4的第一研磨液对整片进行第一化学机械平坦化处理(氧化物的CMP,oxide CMP)。当暴露出结构顶端的特征保护层3时,通过CMP设备自带的介于摩擦力或者光学的终点探测***(endpoint)自动停止研磨。然后使用针对氮化物的特征保护层3的第二研磨液对整片晶圆进行第二化学机械平坦化处理(氮化物的CMP,nitride CMP)。磨掉特征2顶部的氮化硅材质的特征保护层3,同时也相应磨掉一部分的氧化硅材质的第一介质隔离层4;此步CMP通过终点探测或研磨时间控制来完成。研磨完成之后,特征2侧面留有特征保护层3,特征2之间的第一介质隔离层4下凹而低于特征2,特征2的高度例如为 第一介质隔离层4的第一凹陷深度H1例如为
可选地,对第一介质隔离层4进行凹陷处理(oxide recess),使得特征2之间的第一介质隔离层4具有比第一凹陷深度大的第二凹陷深度H2。
有以下3种方法可以完成此步凹陷处理工艺:
(1)使用干法刻蚀(dry etch)直接对整片晶圆进行第一介质隔离层4刻蚀。在刻蚀过程中,通过使用高选择比刻蚀气体(即刻蚀特征2、特征保护层3速率慢,而刻蚀介质隔离层4速率快),以及刻蚀时间的控制,对特征2间的第一介质隔离层4进行凹陷刻蚀;对特征2间的介质隔离层4进行凹陷刻蚀后,得到结构参见图3。刻蚀气体可包括碳氟基气体(CxHyFz)、NF3、SF6、XeF2、BrF2等含氟气体,以及Cl2、Br2、HBr、HCI等其他含卤素气体,还可以包括氧气、臭氧、氮氧化物等氧化剂,通过控制混合刻蚀气体的各个组分比例可以保证高的刻蚀选择比。
(2)使用湿法刻蚀(wet etch)直接对整片晶圆进行第一介质隔离层4刻蚀。在刻蚀过程中,通过使用高选择比刻蚀化学液(即刻蚀特征2、特征保护层3速率慢,而刻蚀介质隔离层4速率快),以及刻蚀时间、温度的控制,对特征2间的介质隔离层4进行凹陷刻蚀;对特征2间的第一介质隔离层4进行凹陷刻蚀后,得到结构参见图3。针对氧化硅材质的第一介质隔离层4,刻蚀化学液可包括稀释氢氟酸(DHF,例如2.5体积%HF水溶液)、缓释刻蚀液(BOE,1∶4的NH4F与HF混合水溶液),刻蚀温度例如25℃。
(3)使用上述的干法和湿法混合刻蚀的工艺,通过控制刻蚀时间,对特征2间的第一介质隔离层4进行凹陷刻蚀;比如先干法刻蚀,在湿法刻蚀。
以上特征2间的第一介质隔离层4进行凹陷刻蚀完成后,第一介质隔离层4具有比第一凹陷深度大的第二凹陷深度H2,例如 上述凹陷刻蚀可以有效清除特征保护层3顶部的第一介质隔离层4,以便在再次生长介质隔离层时进一步增大特征顶部与特征之间的局部高度差,特征保护层3侧壁上端部分没有第一介质隔离层4而利用氧化物生长的选择特性从而使得后续再次生长第二介质隔离层4’时能得到较大的高度差,更便于CMP平坦化。自然,如果POP CMP处理之后第一介质隔离层4的第一凹陷深度本身足够大而使得特征保护层3侧壁上端部分没有第一介质隔离层4,例如基本达到或者超过上述第二凹陷深度,则可以省略上述凹陷刻蚀处理。然后,参照图4,在整个器件上再次形成第二介质隔离层4’,使得特征顶部的第二介质隔离层4’具有凸出高度。与之前形成介质隔离层4的工艺和材质类似,在特征2顶部以及特征2之间凹陷处再次沉积生长第二介质隔离层4’,最终使得特征2之间的第一介质隔离层4凹陷被完全填满并且要高出凹陷区一小部分,同时使得特征2顶部的第二介质隔离层4’具有高于特征2的高度H3,H3例如 该H3与图1A中的高度H0相比要小得多,例如仅为图1A中高度H0的1/5~1/3。再次形成的第二介质隔离层4’可以与之前的第一介质隔离层4材质相同,也可以不同而形成层叠结构。优选地,两者材料相同以节省工序、降低制造成本。第二次重新淀积介质隔离层的目的在于修补特征之间的凹陷,修补特征保护层3顶角在CMP过程中可能造成的损伤,获得较小的特征顶部与特征之间的介质厚度落差。
最后,参照图5,对第二介质隔离层4’进行再次平坦化处理。对晶圆进行CMP处理,针对氧化物材质的第二介质隔离层4’选用合适的研磨液以及研磨垫。当暴露出特征2时,通过CMP设备自带的终点探测***自动停止研磨。最后得到的结构如图5所示,衬底1上具有多个特征2,特征2的侧壁具有特征保护层3,特征2之间具有介质隔离层4/4’,其中介质隔离层4/4’的顶部与特征2的顶部基本持平,两者的高度差例如小于
虽然以上针对衬底1、特征2、特征保护层3以及介质隔离层4/4’给出了具体的材料和形成方法,但是只要满足相邻的两者之间材料不同而具有较高的刻蚀选择比,这些材料均可以互换。例如特征保护层3是氧化物,而介质隔离层4/4’是氮化物;或者特征2是氧化物,特征保护层3是氮化物,介质隔离层4/4’是氮氧化物,等等。
依照本发明的提高化学机械平坦化工艺均匀性的方法,在研磨特征顶部的介质隔离层之后再次形成介质隔离层,一方面补偿了特征之间介质层的凹陷,另一方面降低了特征顶部与特征之间介质层的高度差,在完成第二次CMP平坦化后,可有效提高了晶圆芯片内部的均匀性。
尽管已参照一个或多个示例性实施例说明本发明,本领域技术人员可以知晓无需脱离本发明范围而对器件结构做出各种合适的改变和等价方式。此外,由所公开的教导可做出许多可能适于特定情形或材料的修改而不脱离本发明范围。因此,本发明的目的不在于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例,而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实施例。

Claims (7)

1.一种提高化学机械平坦化工艺均匀性的方法,包括步骤:
在衬底上形成特征结构;
在特征结构顶部以及侧壁形成特征保护层;
在特征结构之间形成第一介质隔离层;
平坦化第一介质隔离层,直至暴露特征结构顶部的特征保护层,平坦化特征结构顶部的特征保护层直至暴露特征结构,使得特征结构之间的第一介质隔离层具有凹陷深度;
在特征结构以及第一介质隔离层上形成第二介质隔离层,降低特征结构之间与特征结构顶部第二介质隔离层的高度差;
平坦化第二介质隔离层,直至暴露特征结构。
2.如权利要求1的方法,其中,第二介质隔离层与第一介质隔离层材质相同。
3.如权利要求1的方法,其中,平坦化第一介质隔离层的步骤之后、形成第二介质隔离层之前,还包括步骤:刻蚀第一介质隔离层,增大第一介质隔离层的凹陷深度。
4.如权利要求3的方法,其中,采用干法刻蚀和/或湿法刻蚀来刻蚀第一介质隔离层。
5.如权利要求1~4任一项的方法,其中,特征结构的材质包括半导体材料、绝缘材料、导电材料,半导体材料包括多晶硅、非晶硅、微晶硅及其组合,绝缘材料包括氧化硅、氮化硅、氮氧化硅、高k材料及其组合,导电材料包括金属、金属合金、金属氮化物及其组合。
6.如权利要求1~4任一项的方法,其中,第一介质隔离层、第二介质隔离层、特征保护层包括氧化物、氮化物、氮氧化物及其组合。
7.如权利要求1~4任一项的方法,其中,采用CMP平坦化,通过CMP设备自带的终点探测***自动停止研磨,或者通过控制研磨时间停止研磨。
CN201210112494.3A 2012-04-16 2012-04-16 提高化学机械平坦化工艺均匀性的方法 Active CN103377911B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201210112494.3A CN103377911B (zh) 2012-04-16 2012-04-16 提高化学机械平坦化工艺均匀性的方法
US13/698,283 US8647987B2 (en) 2012-04-16 2012-06-12 Method for improving uniformity of chemical-mechanical planarization process
PCT/CN2012/000802 WO2013155650A1 (zh) 2012-04-16 2012-06-12 提高化学机械平坦化工艺均匀性的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210112494.3A CN103377911B (zh) 2012-04-16 2012-04-16 提高化学机械平坦化工艺均匀性的方法

Publications (2)

Publication Number Publication Date
CN103377911A CN103377911A (zh) 2013-10-30
CN103377911B true CN103377911B (zh) 2016-09-21

Family

ID=49382776

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210112494.3A Active CN103377911B (zh) 2012-04-16 2012-04-16 提高化学机械平坦化工艺均匀性的方法

Country Status (3)

Country Link
US (1) US8647987B2 (zh)
CN (1) CN103377911B (zh)
WO (1) WO2013155650A1 (zh)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
CN104810265A (zh) * 2014-01-28 2015-07-29 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
CN107170678B (zh) * 2016-03-07 2019-08-27 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
US10950505B2 (en) 2017-01-23 2021-03-16 International Business Machines Corporation Multiple finFET formation with epitaxy separation
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
EP3415440B1 (en) 2017-06-13 2022-11-02 HS Marston Aerospace Limited Fuel tank inerting system and method
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
CN109755243B (zh) * 2017-11-02 2021-11-02 联华电子股份有限公司 半导体元件及其制作方法
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN112447900A (zh) * 2019-09-03 2021-03-05 浙江驰拓科技有限公司 电极组件制备方法
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
CN111965857B (zh) * 2020-08-25 2024-02-02 济南晶正电子科技有限公司 电光晶体薄膜的制备方法、电光晶体薄膜及电光调制器
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN113611601B (zh) * 2021-07-20 2024-05-10 芯盟科技有限公司 晶圆的平整度的调整方法
CN115733465A (zh) * 2021-08-25 2023-03-03 北京超材信息科技有限公司 声表面波滤波器制作方法及加工装置、双工器的加工方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674783A (en) * 1996-04-01 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers
CN1618569A (zh) * 2003-11-17 2005-05-25 台湾积体电路制造股份有限公司 化学机械研磨的流程与基底上铜层氧化物研磨制程
CN101842871A (zh) * 2007-12-18 2010-09-22 夏普株式会社 半导体装置的制造方法以及半导体装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US7098116B2 (en) * 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US7750470B2 (en) * 2007-02-08 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for planarization of dielectric layer around metal patterns for optical efficiency enhancement
CN102859681B (zh) 2010-02-04 2015-04-08 索泰克公司 用于形成集成半导体结构的方法和结构
RU2436188C1 (ru) 2010-04-19 2011-12-10 Открытое акционерное общество "НИИ молекулярной электроники и завод "Микрон" Способ изготовления многоуровневых межсоединений интегральных микросхем с воздушными зазорами

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674783A (en) * 1996-04-01 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers
CN1618569A (zh) * 2003-11-17 2005-05-25 台湾积体电路制造股份有限公司 化学机械研磨的流程与基底上铜层氧化物研磨制程
CN101842871A (zh) * 2007-12-18 2010-09-22 夏普株式会社 半导体装置的制造方法以及半导体装置

Also Published As

Publication number Publication date
US8647987B2 (en) 2014-02-11
CN103377911A (zh) 2013-10-30
WO2013155650A1 (zh) 2013-10-24
US20130273669A1 (en) 2013-10-17

Similar Documents

Publication Publication Date Title
CN103377911B (zh) 提高化学机械平坦化工艺均匀性的方法
US10622261B2 (en) FinFET devices with unique shape and the fabrication thereof
CN103943499B (zh) 鳍式场效应晶体管的形成方法
JP2003298051A (ja) ダブルゲートfet素子及びその製造方法
CN106571294B (zh) 一种半导体器件的制造方法
US9269575B2 (en) Trench sidewall protection for selective epitaxial semiconductor material formation
CN105336688A (zh) 半导体结构的形成方法
KR20190062125A (ko) 반도체 디바이스의 비대칭 소스 및 드레인 구조
CN107230635A (zh) 半导体装置的形成方法
TW202201556A (zh) 半導體結構及其形成方法
CN103779224A (zh) Mosfet的制造方法
CN104576380B (zh) 一种finfet制造方法
US9748111B2 (en) Method of fabricating semiconductor structure using planarization process and cleaning process
CN104078346A (zh) 半导体器件的平坦化方法
CN104851834B (zh) 一种半导体器件的制备方法
CN103022100B (zh) 鳍式场效应管的结构及其形成方法
CN106328501A (zh) 半导体器件的制造方法
CN105336624A (zh) 鳍式场效应晶体管及其假栅的制造方法
US20210265487A1 (en) Partial Directional Etch Method and Resulting Structures
CN103531476A (zh) 半导体器件制造方法
CN105575786B (zh) 一种半导体器件及其制造方法、电子装置
TW202203373A (zh) 半導體裝置及其形成方法
CN106558610A (zh) 一种半导体器件及其制备方法、电子装置
US7026196B2 (en) Method of forming field effect transistor and structure formed thereby
CN102592988B (zh) 提高打开多晶栅顶化学机械平坦化工艺均匀性的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant