JPH08181328A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JPH08181328A
JPH08181328A JP7251474A JP25147495A JPH08181328A JP H08181328 A JPH08181328 A JP H08181328A JP 7251474 A JP7251474 A JP 7251474A JP 25147495 A JP25147495 A JP 25147495A JP H08181328 A JPH08181328 A JP H08181328A
Authority
JP
Japan
Prior art keywords
semiconductor device
layer
region
strip
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7251474A
Other languages
English (en)
Other versions
JP3869037B2 (ja
Inventor
James A Cunningham
エイ. カニングハム ジェームズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
Original Assignee
SGS Thomson Microelectronics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SGS Thomson Microelectronics Inc filed Critical SGS Thomson Microelectronics Inc
Publication of JPH08181328A publication Critical patent/JPH08181328A/ja
Application granted granted Critical
Publication of JP3869037B2 publication Critical patent/JP3869037B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)

Abstract

(57)【要約】 【課題】 集積回路のより広い適用場面で使用すること
が可能であるようにポリシリコンTFTのオン電流及び
性能を改善する。 【解決手段】 半導体装置(10)は絶縁性支持体(1
2)を有している。半導体物質からなるストリップ(1
4)は絶縁性支持体と接触する2つの端部及びそれらの
端部の間に延在する中間部分(16)を有している。誘
電体層(22)が中間部分を取囲んでおり、導電層(2
4)が誘電体層を取囲んでいる。導電層は実質的に一定
の幅を有しており、導電層内に形成されるゲート電極は
端部内に形成されるドレイン領域(18)及びソース領
域(20)と完全に自己整合される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、大略、半導体装置
及びその製造方法に関するものであって、更に詳細に
は、薄膜トランジスタ(TFT)及びその製造方法に関
するものである。
【0002】
【従来の技術】MOSトランジスタの導電性チャンネル
を多結晶シリコン(ポリシリコン)の薄膜内に配置させ
るという概念は極めて古く1960年代後半にさかのぼ
る。顕著な研究開発の努力にも拘らず、これらの装置及
びそれに対応するアモルファシリコンのものも最近まで
殆ど市場において成功することはなかった。何故なら
ば、単結晶基板上に形成されるMOSトランジスタと比
較して、ポリシリコン又はアモルファス物質を使用する
薄膜トランジスタ(TFT)は、典型的に、そのキャリ
ア移動度が低いために相互コンダクタンスがかなり低い
からである。それらは、又、グレイン境界即ち粒界に存
在すると考えられるトラップによる帯電効果によりスレ
ッシュホールド電圧が高く且つ再現性のないものである
という特徴を有していた。
【0003】1980年代初頭において、水素添加アモ
ルファスシリコン(α−Si:H)で製造したTFTが
薄膜イメージセンサ適用のために研究された。この物質
又は同様の物質を再結晶化させることによって比較的高
い性能のポリシリコンTFTが得られた。1980年代
後半までに、ポリシリコンTFTはアクティブマトリク
ス型液晶ディスプレイ(LCD)に対して大量に適用さ
れた。これらの製品はガラス又は石英基板を使用するも
のである。TFTは従来のMOSトランジスタと比較し
て比較的寸法が大きく且つデジタル適用において通常使
用されるものよりもより高い電圧において動作する。
【0004】最近TFTについて一般的なトピックに関
し多数の文献が発表されているが、TFTは未だにスタ
ンダードなCMOS装置に対して大量に適用されていな
い。これらの文献としては、例えば、Koichiro
Ishibashi etal.、「2ステップワー
ド電圧方法を使用した1V TFT負荷SRAM(A
1V TFT−Load SRAM Using a
Two−StepWord−Voltage Meth
od)」、IEEE ISSCC、206頁(1992
年)、Sjuji Murakami et al.
「バッテリィ動作用21mW CMOS SRAM(A
21mW CMOS SRAM for Batte
ry Operation)」、[三菱電機]、ISS
CC、46頁(1991)、Katsuro Sasa
ki et al.「電流センスアンプを有する7ns
140mW CMOS SRAM(A 7ns 14
0mW CMOS SRAM with Curren
t Sense Amplifier)」、[日立]、
ISSCC、208頁(1992)、Hiroyuki
Goto et al.「3.3V 12ns 16
Mb CMOSSRAM(A 3.3V 12ns 1
6Mb CMOS SRAM)」、[NEC]、ISS
CC、216頁(1992)、C.T. Liu et
al.「自己整合型LDD構造を使用した16Mbi
tSRAM適用用の高信頼性及び高性能0.35ミクロ
ンゲート反転型TFT(High Reliabili
ty and High Performance
0.35 μm Gate−Inverted TF
T’s for 16Mbit SRAM Appli
cation Using Self−Aligned
LDD Structures)」、[AT&T]、
IEEE IEDM、823頁(1992)、J.P.
Colinge et al.、「シリコン・オン・
インシュレータ全周ゲート装置(Silicon−on
−Insulator Gate−All−Aroun
d Device)」、IEDM、595頁(199
0)、J.D. Hayden et al.「高速1
6Mb SRAM用高性能4重ウエル、4重ポリシリコ
ンBiCMOSプロセス(A High−Perfor
mance Quadruple Well, Qua
druple Poly BiCMOS Proces
s for Fast 16Mb SRAMs)」、I
EEE IEDM、819頁(1992)、1992年
実験的SRAMセルにおいて報告、Koichiro
Ishibashi et al.「2ステップワード
電圧方法を使用した1V TFT負荷SRAM(A 1
V TFT−Load SRAM Using a T
wo−Step Word−Voltage Meth
od)」、IEEE ISSCC、206頁(199
2)を参照すると良い。
【0005】TFTは、それと対応する単結晶のものと
比較すると大型であることが多いが、面積を減少した集
積回路を製造するために使用されることがしばしばあ
る。例えば、TFTはスタティックランダムアクセスメ
モリ(SRAM)セルにおける負荷装置として使用する
ことが可能である。典型的に、TFTは、そのチャンネ
ル領域と、ドレイン領域と、ソース領域とを例えば石英
やガラス等の誘電体基板上に形成した半導体物質からな
るストリップから形成した電界効果トランジスタ(FE
T)である。従って、半導体基板内に形成される従来の
FETと異なり、TFTは例えばSRAMセル等の半導
体構成体に関して垂直に配列した状態で形成することが
可能である。このような集積回路構成要素とのスタッキ
ング即ち積重ねは、集積回路の面積を著しく減少させる
ことが多々ある。
【0006】
【発明が解決しようとする課題】然しながら、同様のW
/L比の場合に、TFTの「オン」電流、即ちIdsat
それに対応する従来の装置と比較して著しく低いもので
あることが多々ある。更に、TFTのスイッチング速度
はある適用例に対して遅すぎる場合が多々ある。本発明
は、上述した如き従来技術の欠点を解消することを目的
とする。
【0007】
【課題を解決するための手段】本発明によれば、チャン
ネル領域を完全に取囲む自己整合型ゲート電極を具備す
るポリシリコンTFTを製造する方法が提供される。こ
のような取囲みによって幅寸法は最小でも2倍増加す
る。増加された「オン」電流の流れはこれよりも一層大
きい。何故ならば、導通状態は2つの反転されたチャン
ネルに沿ってばかりではなく、反転された体積を介して
も存在するからである。体積反転効果は2重ゲート装
置、即ち上側ゲート電極と下側ゲート電極とを具備する
MOSトランジスタについて報告されている。例えば、
E.Simoen et al.「全周ゲートSOIト
ランジスタの低周波数ノイズ研究(A Low−Fre
quency Noise Study of Gat
e−All−Around SOI Transist
ors)」、IEEEトランズアクションズ・オン・エ
レクトロン・デバイシィズ、40(11):2054
(1993)の文献を参照すると良い。本発明方法は、
幅狭のストリップの形態にパターン形成されたポリシリ
コン膜の下側に空洞を形成することを包含している。こ
のポリシリコン膜はTFTのチャンネル領域、ソース領
域、ドレイン領域を形成するために使用される。この空
洞及びチャンネル乃至はスロットはスタンダードなホト
レジスト方法及びエッチング技術を使用して誘電体基板
内に設けられる。次いで、高度に適合性のあるLPCV
Dポリシリコンからなる第二膜を、金属層に対して使用
されており且つ最近IBMによって報告されている「ダ
マスカス」プロセスに幾分類似した態様で空洞及びチャ
ンネル内に導入させる。例えば、R. R. Utte
cht及びRobert M. Geffken、高密
度高性能ロジック及びSRAM適用用4レベル金属完全
平坦化相互接続技術(A Four−Level−Me
tal Fully Planarized Inte
rconnect Technology For D
ense High Performance Log
ic and SRAM Application
s)」、VIMC、20頁(1991)を参照すると良
い。第二ポリシリコン膜が薄い第一ポリシリコン膜内の
トランジスタチャンネル領域の周りに自己整合型ゲート
電極を形成する。2つのホトマスクステップを使用する
だけで機能的なN又はPチャンネルトランジスタが形成
される。最小トランジスタ長さは4λであり、尚λは最
小特徴寸法である。これは、最小のポリシリコンライン
幅(ウエハの表面から見た場合のトランジスタ長さ)が
2λであるスタンダードなMOS技術と比較される。
【0008】従って、ポリシリコンTFT装置を集積回
路の種々の適用場面においてより広く使用することが可
能であるようにポリシリコンTFTのオン電流及び性能
を改善する方法を提供することが本発明の基本的な目的
である。
【0009】本発明によれば、絶縁性支持体を有する半
導体装置が提供される。半導体物質からなるストリップ
は絶縁性支持体と接触する一対の反対側の端部を有する
と共にそれらの端部の間に延在する中間部分を有してい
る。誘電体物質からなる層が中間部分を取囲み、且つ導
電性物質の層が誘電体層を取囲んでいる。
【0010】本発明の1側面において提供される半導体
装置は誘電体基板上に形成されたTFTである。両側の
端部は夫々ソース領域とドレイン領域とを形成し、且つ
中間部分がチャンネル領域を形成する。誘電体層及び導
電層がゲート絶縁体(膜)及びゲート電極を夫々形成す
る。本発明の別の側面においては、ソース領域及びドレ
イン領域は、夫々、軽度にドープしたソース領域とドレ
イン領域とを包含している。
【0011】本発明の1側面によって与えられる1つの
利点はVds又はVgsのいずれかを増加させることなしに
「オン」電流が増加することである。本発明の別の側面
によって与えられる利点はスイッチング速度が増加する
ことである。
【0012】
【発明の実施の形態】図1は、本発明に基づく半導体装
置10の概略断面図を示している。この装置10はFE
Tであり、絶縁性支持体12と半導体物質からなるスト
リップ14とを有している。本発明の1側面において
は、絶縁性支持体12は半導体基板上の例えばSiO2
又はガラス等の絶縁体から形成され、且つストリップ1
4はTFTを形成するためのポリシリコンから形成され
る。ストリップ14はチャンネル16及びソース領域1
8及びドレイン領域20を有している。ゲート絶縁体
(膜)22はチャンネル16を取囲んでおり、且つゲー
ト電極24はゲート絶縁体22を取囲んでいる。ゲート
電極24はチャンネル16を完全に取囲んでいるので、
装置10のオン電流及び相互コンダクタンスはチャンネ
ル16の表面の上部部分に沿ってのみゲート電極を有す
る装置のオン電流及び相互コンダクタンスよりも約2乃
至5倍増加されている。更に、装置10は軽度にドープ
されたソース領域26及びドレイン領域28を有するこ
とが可能であり、それらは、ドレイン20における電界
を低下させてドレイン20からの電子がゲート絶縁体2
2へ打ち込まれることの傾向を減少させる。このような
打ち込みは、通常「ホットエレクトロン」問題として言
及され、時間の経過と共に装置10のスレッシュホール
ド電圧をシフトさせる場合がある。更に、後述する如
く、ゲート電極24はチャンネル16に対して自己整合
されている。このような自己整合は、本装置の寸法を著
しく減少させ且つ例えばミラー容量等の寄生容量を減少
させ、従って装置10のスイッチング速度を増加させ
る。
【0013】図2乃至10は本装置10の製造方法を示
している。図2を参照すると、幅Wを有しており半導体
物質からなるストリップ14を絶縁性支持体12の上に
形成する。本発明の1側面においては、ストリップ14
は低圧気相成長(LBCVD)プロセスで、シリコン基
板上のSiO2 層である絶縁性支持体12の上に付着形
成したアモルファスシリコンからなる層である。このア
モルファスシリコン膜の厚さは約500乃至2500Å
の範囲内である。典型的には、その膜が薄ければ薄いほ
ど、TFTのスレッシュホールド電圧は一層低い。付着
温度は、好適には、475乃至650℃の間に維持さ
れ、従って爾後のグレイン成長プロセスの場合に殆ど核
が存在することはない。アモルファスシリコンは従来使
用されているガスであるSiH4 か又はSi26 のい
ずれかのソースガスを使用して付着形成することが可能
である。Si26 はLPCVDを約475℃において
実施することを可能とし、且つ許容可能な膜を形成す
る。例えば液晶ディスプレイ(LCD)等のある装置の
場合には、絶縁性支持体12はガラス又は石英とするこ
とが可能である。このような低い温度(約475℃)に
おいてのLPCVDプロセスは絶縁性支持体12がガラ
ス又は石英であることを許容する。
【0014】一方、シリコン膜14はポリシリコンとし
て形成することが可能である。典型的なポリシリコン形
成温度はシリコン基板上のSiO2 層上にポリシリコン
を形成する場合には650乃至950℃の範囲である。
1実施例においては、このポリシリコン膜はシリコンの
イオン注入によってアモルファスとさせることが可能で
ある。典型的に、約75KeVにおいての約5×1015
原子数/cm2 の注入レベルで十分である。然しなが
ら、このレベルは付着形成する膜の厚さに依存して変化
することが可能である。必要な場合には、成長条件及び
爾後の注入は、付着形成される膜が可及的にアモルファ
スであるようにすべきである。一方、ストリップ14は
ポリシリコンのまま残存することが可能であり、ポリシ
リコンから形成される本発明装置はチャンネル16、ソ
ース領域18及びドレイン領域20を有している。
【0015】次に、アモルファスシリコン膜14をN2
又はAr中において比較的長く温度の低いアニールへ露
呈させ、アモルファスシリコンを粒界の大きなポリシリ
コンへ変換させる。特に、このアニールは、約475乃
至600℃の範囲内の温度において且つ約20乃至10
0時間の範囲内の期間にわたり実施する。然しながら、
このアニールプロセス期間中の温度は、付加的な核又は
小さな結晶を発生するのを禁止するのに充分に低いが、
既存の結晶が固体状態拡散を介して成長することを可能
とするのに充分に高いものである。このようなアニール
ステップによって約5ミクロン以上の粒界を有するポリ
シリコン膜が形成される。一方、このアモルファスシリ
コン膜はレーザ再結晶化方法を使用して粒界の大きなポ
リシリコンへ変換させることも可能である。
【0016】アモルファスシリコン膜をグレイン寸法の
大きなポリシリコンへ変換させるのは、大きなグレイン
はTFTを改善させるからである。例えば、大きなグレ
インは、典型的に、粒界に主に位置するキャリアトラッ
プにおいて蓄積される場合のある電荷数を減少させる。
逆に、小さなグレインは、多数のこのようなトラップを
発生させ、そのようなトラップは反転層を形成し、即ち
チャンネルを形成してTFTをターンオンさせる代わり
に、これらのトラップを充電し且つ放電する作業におい
てTFTへ印加されるゲート電圧の著しい部分を浪費さ
せる場合が多々ある。粒界における電荷密度を更に減少
させるために、ポリシリコン層を水素(H)でパッシベ
ーションすることが可能である。このようなパッシベー
ションはTFTのスレッシュホールド電圧及びサブスレ
ッシュホールドリーク電流を減少させる。ポリシリコン
層を水素でパッシベーションさせることの可能な多くの
方法が公知であり、例えば、適宜の形成用ガス又はH内
において長期間ベークし、プラズマ補助CVD(PEC
VD)窒化シリコン層を付与し、半導体構成体10を約
300℃において水素プラズマ中に浸漬させるか、又は
プロトンでの高ドーズイオン注入によるもの等がある。
【0017】一方、例えばガラス又はSiO2 層上に直
接ポリシリコンを形成する等の任意の適宜の技術を使用
してストリップ14のために許容可能な大きさのグレイ
ン寸法のポリシリコンを形成することが可能である。
【0018】絶縁性支持体12の上にポリシリコン層1
4を形成した後に、ホトリソグラフィ方法を使用して1
つ又はそれ以上のポリシリコンストリップ14を形成す
る。本発明の1側面においては、幅Wは2λであり、尚
λは使用した処理技術に対するポリシリコン層14の幅
に対する最小特徴寸法能力である。
【0019】図3を参照すると、ポリシリコンストリッ
プ14を形成した後に、絶縁性支持体12及びストリッ
プ14の上に平坦層30を形成する。本発明の1側面に
おいては、層30は約2000Åの厚さの二酸化シリコ
ン(SiO2 )からなる層32と、約5000Åの厚さ
の燐ガラス又はボロンをドープしたガラスからなる層3
4とを有している。次いで、層34をフローさせて平坦
な表面36を形成させる。本発明の1側面においては、
適合性CVD又は低圧CVDのいずれかを使用してSi
2 層32を付着形成させる。次いで、層34を典型的
にある適用場面に対して800乃至1100℃の範囲内
である適宜の温度においてフローさせる。然しながら、
所望により、ほぼ平坦な上表面36を与えるためにより
低い温度を使用することも可能である。一方、平坦な表
面36を有する層30はスピンオンガラス膜から形成す
ることも可能である。これは、ガラス又は石英上に形成
するLCDに対して有用である。
【0020】図4を参照すると、それは図3の構造の概
略平面図であり、ホトレジストマスク38が表面36上
に形成され、且つエッチングされて長さLを有する中間
部分16を露出させている。本発明の1実施例において
は、長さLは約幅Wと等しい。層30及び絶縁性支持体
12の露出された部分を異方性エッチングして図4のA
−A線に沿ってとった図5に示した構造とさせる。Si
とSiO2 との選択性が高い異方性エッチプロセスが好
適である。このような異方性エッチは種々のフルオロカ
ーボンプラズマ化学を使用するイオン補助プラズマエッ
チプロセスを使用して実行することが可能である。図5
に示した如く、この異方性エッチングによって層30の
露出部分が完全に取除かれ且つ中間部分16の底部下側
にほぼW/2であるλの深さに絶縁性支持体12内にエ
ッチングする。従って、この異方性エッチングは中間部
分16においてストリップ14の両側に開口領域42を
形成する。
【0021】図6を参照すると、絶縁性支持体12及び
層30の露出された部分を等方性エッチングを行なって
アンダーカットを形成し、その境界を点線40で示して
ある。領域42におけるアンダーカットの量は、中間部
分16の下側の中間空洞46(図7)が形成されるよう
なものである。何故ならば、絶縁層12が中間部分16
の下側を貫通してエッチングされるからである。このよ
うな等方性エッチングは、例えば緩衝酸化物エッチ(B
OE)等のHFの水溶液を使用して所望の深さまで実施
することが可能である。1実施例においては、異方性エ
ッチングの後開口42の元の深さを超えて約W/2の深
さが適切である。然しながら、ある場合にはより小さな
アンダーカットとすることが望ましく、且つ大型のゲー
ト電極の場合には、例えば2λ以上のより大きなアンダ
ーカットが望ましい。
【0022】図7を参照すると、それは図6のB−B線
に沿ってとった概略断面図であり、空洞46がストリッ
プ14の中間部分16の下側に形成されていることが示
されている。この場合の点線は開口42の深さを示して
おり、且つ実線は等方性エッチングの後の空洞46を示
している。
【0023】図8を参照すると、ゲート絶縁体乃至は誘
電体48をストリップ14の中間部分16を完全に取囲
むように形成する。中間部分16の長さは空洞46の幅
によって画定されるので、ゲート電極はTFTのチャン
ネル領域となる中間部分16と自己整合される。このよ
うな自己整合は、ゲート電極がソース領域18又はドレ
イン領域20(図1)とオーバーラップする場合には増
加されることのあるミラー寄生容量を減少させる。ゲー
ト絶縁体48の厚さは、所望とするスレッシュホールド
電圧に依存して、約150乃至500Åの範囲内で変化
させることが可能である。ゲート絶縁体48は、熱酸化
と、それに続いてのテトラエチルオルトシリケート(T
EOS)をベースとした二酸化シリコンの適合性CVD
の組合わせを使用して形成することが可能である。一
方、ゲート絶縁体48は乾燥酸素(O2 )において熱的
に成長させることが可能である。又、ゲート絶縁体48
は、従来のTFTを製造する場合に使用されるようにC
VDのみを使用して形成することが可能であり、又はC
VD窒化シリコンゲート誘電体プロセスを使用して形成
することも可能である。本発明の1側面においては、ゲ
ート絶縁体48を形成する前に、露出されている中間部
分16を、所望により、特定の寸法の装置を形成するた
めに軽い酸化及びBOEエッチングによって薄くさせる
ことが可能である。次に、中間部分16を適宜のドーパ
ントで注入してTFTのチャンネルを形成する。例え
ば、Nチャンネルトランジスタを形成するためには、中
間部分16を約1012原子数/cm2 の範囲におけるボ
ロンで注入することが可能である。一方、Pチャンネル
装置を形成するためには、チャンネル16は典型的に軽
度に砒素(As)で注入されているが、公知の設計ツー
ルを使用して設計者によって選択される所望のスレッシ
ュホールド特性を与えるためにチャンネル領域16はド
ープしないままとさせることが可能である。チャンネル
16を形成するために中間部分16を注入する前又は後
にマスク層38を除去する。
【0024】図8を参照して説明すると、次いで、構成
体10をポリシリコン層50でコーティングする。図示
した如く、層50は開口42及び空洞46を完全に充填
しゲート酸化膜48及びチャンネル16の両方を完全に
取囲む。使用した付着プロセスに依存して、チャンネル
16下側の空洞46におけるポリシリコン層50の一部
に幾らかのボイド52が存在する場合がある。然しなが
ら、このようなボイドは結果的に得られるTFTの性能
に何等悪影響を与えるものではないものと考えられ、且
つあるポリシリコン付着技術においては完全に回避する
ことが可能である。
【0025】それを付着形成した後に、ポリシリコン層
50をドーピングし且つガラス層30の表面に対してエ
ッチングさせる。本発明の1側面においては、最初にポ
リシリコン層50をN+注入又は拡散でドーピングす
る。層50の上部部分を例えば化学的機械的研磨(CM
P)等の従来のプロセスで除去することが可能であり、
又は、ホトレジストマスク38が充分に小さな開口を有
する場合には、ポリシリコン層50を除去するために異
方性プラズマエッチングを使用することが可能である。
その場合のプラズマは、例えばSF6 をベースとしたイ
オン補助プラズマエッチングにおいて見られるようなS
iとSiO2 との間の選択性の高いものとすべきであ
る。一方、層50の上部部分を最初にエッチングするこ
とが可能であり、且つ空洞46及び開口42内に残存す
る層50の部分、即ちゲート電極24を形成する層50
の部分を後にドーピングさせることが可能である。使用
するドーピングプロセスに依存して、ゲート電極24の
上部部分54を下側部分よりも一層高度にドーピングさ
せることが可能である。
【0026】図9を参照すると、本方法のこの時点にお
いて、層30を除去することが可能であり、且つチャン
ネル16に隣接したストリップ14の端部部分を適宜ド
ーピングしてTFTのソース領域18及びドレイン領域
20(図1)を形成することが可能である。ソース領域
18及びドレイン領域20のドーピングは、更に、ゲー
ト電極24の上部部分及び露出された側壁部分内にドー
パントを注入する。後の拡散ステップによってチャンネ
ル16下側のドーパントが下側部分54内へドライブさ
れて、その部分が前のステップにおいてドーピングされ
なかった場合にはドーピングされることを確保する。従
って、ソース領域18及びドレイン領域20も、ゲート
電極24と自己整合状態に形成される。
【0027】層30を適宜のマスキング手順を使用して
エッチバックしスペーサ56を形成する。本発明の1側
面においては、スペーサ56の幅Sは約0.5ミクロン
である。従来公知の如く、Sはほぼ0.15ミクロンに
等しい場合には、結果的に得られるTFTに対するオン
/オフ電流比は約104 であり、LDDを有することの
ない装置の場合には102 である。更に、Sが約0.4
5ミクロンに等しいオン/オフ電流比は約107 であ
る。スペーサ56の形成に続いて、ストリップ14をド
ーパントで注入する。例えば本発明の1側面において
は、層14を、約1015原子数/cm2 の注入レベルを
使用してAsでドーピングし、N+ソース領域18及び
ドレイン領域20を形成し、それらは、図9において
は、スペーサ56の外側端部において開始している。
【0028】図10を参照すると、次いで、例えばBO
E又はプラズマエッチングによってスペーサ56を取除
くことが可能である。次いで、軽度のドーズのドーパン
トを注入してLDDタイプ構造の軽度にドープした領域
26及び28を形成する。従って、このLDD構造は、
側壁酸化物スペーサを使用したゲート電極及びチャンネ
ル領域と自己整合されており、絶縁性基板上に形成した
ポリシリコン装置において従来可能でなかった著しい寸
法及び装置動作特徴を与えている。例えば、N型物質か
らなる領域26及び28を形成するために、AsのLD
D装置用に適した値の軽いドーズで付与された酸化物層
58を介して注入させる。次いで、構成体10を軽度に
酸化させゲート誘電体48における端部のボイドを治癒
する。次いで、迅速熱アニール(RTA)によってドー
パントを活性化させ横方向拡散を減少させる。
【0029】本発明の別の実施例においては、ガラス層
30を除去し、ストリップ14及びゲート電極24の上
に注入酸化物を形成した後に、軽度のドーパント注入を
行なって軽度にドープした物質からドレイン領域18及
び20を形成することが可能である。次いで、スペーサ
56を形成し且つ二番目の注入を行なって、スペーサ1
6下側の領域26及び28を軽度にドープしたままとさ
せ、且つソース18及びドレイン20の残りの部分を高
度にドーピングさせて軽度にドープしたドレイン及びソ
ース領域26及び28を有するTFTを与える。
【0030】図11は図4のA−A線に沿ってとった完
成されたTFTの概略断面図を示している。理解される
如く、ビア、コンタクト等を使用してソース領域18及
びドレイン領域20に対して適宜の電気的相互接続が形
成され、従ってポリシリコントランジスタ10はより大
きな回路の1つの要素となることが可能である。それら
のコンタクトは下側の層から装置10へ又はより高い層
からのものとすることが可能である。最も蓋然性の高い
場合としては、電気的相互接続は、ソース/ドレイン領
域18及び20を回路内の夫々の導体へコンタクトさ
せ、且つゲート電極24を別の導体へコンタクトさせ
て、トランジスタ動作、ダイオード接続、負荷接続、等
各回路設計に対して必要な構造を与える。第一ポリシリ
コン層、第二ポリシリコン層及びその他の層への電気的
コンタクトを形成する現在公知のスタンダードな技術を
使用することが可能である。
【0031】以上、本発明の具体的実施の態様について
詳細に説明したが、本発明は、これら具体例にのみ限定
されるべきものではなく、本発明の技術的範囲を逸脱す
ることなしに種々の変形が可能であることは勿論であ
る。
【図面の簡単な説明】
【図1】 本発明に基づいて構成された半導体構成体の
概略断面図。
【図2】 絶縁性支持体上に形成した半導体物質からな
るストリップを示した概略断面図。
【図3】 平坦な層を付加した図2の構造の概略断面
図。
【図4】 マスク層を付加した図3の構造を示した概略
平面図。
【図5】 異方性エッチングを行なった後の図4の構造
のA−A線に沿ってとった概略断面図。
【図6】 等方性エッチングを行なった後の図5の構造
を示した概略平面図。
【図7】 図3のB−B線に沿ってとった図6の構造の
概略断面図。
【図8】 マスク層を除去し且つゲート酸化膜及び導電
層を付加した後の図7の構造を示した概略断面図。
【図9】 エッチングに続き且つ第一自己整合不純物注
入プロセス期間中の図8の構造を示した概略断面図。
【図10】 第二自己整合不純物注入プロセス期間中の
図9の構造を示した概略断面図。
【図11】 図3のB−B線に沿ってとった図10の構
造を示した概略断面図。
【符号の説明】
10 半導体装置(FET) 12 絶縁性支持体 14 ストリップ 16 チャンネル 18 ソース領域 20 ドレイン領域 22 ゲート絶縁体(膜) 24 ゲート電極
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 29/78 616 M 627 G

Claims (25)

    【特許請求の範囲】
  1. 【請求項1】 半導体装置において、 絶縁性支持体、 前記絶縁性支持体と接触する2つの端部及び前記2つの
    端部の間に延在する中間部分を具備する半導体物質から
    なるストリップ、 前記中間部分を取囲む誘電体物質層、 前記誘電体物質層を取囲み且つ実質的に一定の幅をもっ
    た導電性物質層、を有することを特徴とする半導体装
    置。
  2. 【請求項2】 請求項1において、前記絶縁性支持体が
    誘電体物質からなることを特徴とする半導体装置。
  3. 【請求項3】 請求項1において、前記半導体物質がポ
    リシリコンを有することを特徴とする半導体装置。
  4. 【請求項4】 請求項1において、前記2つの端部のう
    ちの第一端部がドレイン領域を形成しており、前記2つ
    の端部のうちの第二端部がソース領域を形成しており、
    且つ中間部分がチャンネル領域を形成していることを特
    徴とする半導体装置。
  5. 【請求項5】 請求項1において、前記導電性物質層が
    ゲート電極を形成していることを特徴とする半導体装
    置。
  6. 【請求項6】 請求項1において、前記中間部分が前記
    2つの端部及び前記絶縁性支持体に関して実質的に同一
    面状にあることを特徴とする半導体装置。
  7. 【請求項7】 請求項1において、前記誘電体物質層が
    ゲート絶縁膜を形成していることを特徴とする半導体装
    置。
  8. 【請求項8】 半導体装置において、 ソース領域と、ドレイン領域と、チャンネル領域とを具
    備する半導体物質からなる本体、 前記チャンネル領域を取囲むゲート絶縁体、 前記ゲート絶縁体を取囲んでおり且つ前記ソース領域及
    びドレイン領域と完全に自己整合されているゲート電
    極、を有することを特徴とする半導体装置。
  9. 【請求項9】 請求項8において、前記ソース領域及び
    前記ドレイン領域の各々が前記チャンネル領域に隣接し
    た軽度にドープした領域を有することを特徴とする半導
    体装置。
  10. 【請求項10】 請求項8において、前記ゲート絶縁体
    が二酸化シリコンを有することを特徴とする半導体装
    置。
  11. 【請求項11】 請求項8において、前記ゲート電極が
    ポリシリコンを有することを特徴とする半導体装置。
  12. 【請求項12】 請求項8において、前記半導体物質が
    ポリシリコンを有することを特徴とする半導体装置。
  13. 【請求項13】 半導体装置において、 互いに離隔されている第一及び第二のドープした半導体
    領域、 前記第一及び第二領域の間に位置されており且つ前記第
    一及び第二領域よりもドーピング濃度が低い第三のドー
    プした半導体領域、 前記第三領域を取囲む絶縁体、 前記絶縁体を取囲んでおり且つ実質的に一定の幅をもっ
    た導電性物質層、を有することを特徴とする半導体装
    置。
  14. 【請求項14】 請求項13において、前記第一及び第
    二の領域が第一導電型の半導体物質から構成されてお
    り、且つ前記第三の領域が第二の導電型の半導体物質か
    ら構成されていることを特徴とする半導体装置。
  15. 【請求項15】 請求項13において、前記第一及び第
    二の領域が前記第三の領域に隣接した軽度にドープした
    領域を有することを特徴とする半導体装置。
  16. 【請求項16】 半導体装置の製造方法において、 絶縁性支持体上に半導体物質からなるストリップを形成
    し、 前記ストリップの中間部分の下側において前記絶縁性支
    持体に空洞を形成し、 前記中間部分の周りに絶縁体を形成し、 前記絶縁体の周りに自己整合した電極を形成する、上記
    各ステップを有することを特徴とする方法。
  17. 【請求項17】 請求項16において、前記空洞を形成
    するステップが、 前記中間部分の両側に沿って異方性エッチングによって
    開口を形成し、 前記開口が合体して前記空洞を形成するように前記開口
    を等方性エッチングする、ことを特徴とする方法。
  18. 【請求項18】 請求項16において、更に、前記中間
    部分をドーピングしてチャンネル領域を形成し且つ前記
    中間部分に隣接した前記ストリップの端部をドーピング
    してソース領域及びドレイン領域を形成することを特徴
    とする方法。
  19. 【請求項19】 請求項18において、更に、前記チャ
    ンネル領域に隣接した前記ソース領域及びドレイン領域
    の一部を軽度にドーピングして軽度にドープされたソー
    ス領域及びドレイン領域を形成することを特徴とする方
    法。
  20. 【請求項20】 トランジスタの製造方法において、 絶縁性支持体上に半導体物質からなるストリップを形成
    し、 前記ストリップの中間部の両端が前記絶縁性支持体と接
    触するように前記ストリップの中間部の下側において前
    記絶縁性支持体内に空洞を形成し、 前記中間部分の周りにゲート絶縁体を形成し、 前記中間部分内に本トランジスタのチャンネルを形成
    し、 前記ゲート絶縁体の周りにゲート電極を形成し、 前記ゲート電極がドレイン領域及びソース領域と完全に
    自己整合するように前記端部の夫々の中に本トランジス
    タのドレイン領域及びソース領域を形成する、上記各ス
    テップを有することを特徴とする方法。
  21. 【請求項21】 請求項20において、前記ストリップ
    を形成するステップが、 前記絶縁性支持体上にポリシリコン層を形成し、 前記ポリシリコン層をエッチングして前記ストリップを
    形成する、ことを特徴とする方法。
  22. 【請求項22】 請求項20において、前記ストリップ
    を形成するステップが、 前記絶縁性支持体上にアモルファスシリコン層を形成
    し、 前記アモルファスシルコン層をエッチングして前記スト
    リップを形成し、 前記絶縁性支持体及び前記ストリップ上に第一誘電体層
    を形成し、 前記第一誘電体層上に第二誘電体層を形成し、 前記第一及び第二誘電体層をフローさせて平坦な表面を
    形成し且つ前記アモルファスシルコンをポリシリコンへ
    変換させる、ことを特徴とする方法。
  23. 【請求項23】 請求項20において、前記空洞を形成
    するステップが、 前記ストリップ及び前記絶縁性支持体上に実質的に平坦
    な表面をもった層を形成し、 前記層の上に前記中間部分を露出させるマスクを形成
    し、 前記層及び前記絶縁性支持体を異方性エッチングして前
    記中間部分の側部に隣接して開口を形成し、 前記開口が前記中間部分の下側で合体して前記空洞を形
    成するように前記絶縁性支持体を等方性エッチングす
    る、ことを特徴とする方法。
  24. 【請求項24】 請求項20において、前記ゲート電極
    を形成するステップが、前記空洞を導電性物質で充填す
    ることを特徴とする方法。
  25. 【請求項25】 請求項20において、更に、前記チャ
    ンネルに隣接した前記ドレイン領域及びソース領域の一
    部を軽度にドーピングすることを特徴とする方法。
JP25147495A 1994-09-30 1995-09-28 半導体装置の製造方法 Expired - Lifetime JP3869037B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US315955 1989-02-27
US08/315,955 US5705405A (en) 1994-09-30 1994-09-30 Method of making the film transistor with all-around gate electrode

Publications (2)

Publication Number Publication Date
JPH08181328A true JPH08181328A (ja) 1996-07-12
JP3869037B2 JP3869037B2 (ja) 2007-01-17

Family

ID=23226822

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25147495A Expired - Lifetime JP3869037B2 (ja) 1994-09-30 1995-09-28 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US5705405A (ja)
EP (1) EP0704909B1 (ja)
JP (1) JP3869037B2 (ja)
DE (1) DE69521579T2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670912B2 (en) 2003-05-15 2010-03-02 Samsung Electronics Co., Ltd. Methods of fabricating multichannel metal oxide semiconductor (MOS) transistors

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060375A (en) * 1996-07-31 2000-05-09 Lsi Logic Corporation Process for forming re-entrant geometry for gate electrode of integrated circuit structure
US6962883B2 (en) * 1996-08-01 2005-11-08 Texas Instruments Incorporated Integrated circuit insulator and method
KR100331845B1 (ko) * 1998-01-10 2002-05-10 박종섭 박막트랜지스터제조방법
US6117712A (en) * 1998-03-13 2000-09-12 Texas Instruments - Acer Incorporated Method of forming ultra-short channel and elevated S/D MOSFETS with a metal gate on SOI substrate
US6207530B1 (en) * 1998-06-19 2001-03-27 International Business Machines Corporation Dual gate FET and process
DE69832162D1 (de) * 1998-07-22 2005-12-08 St Microelectronics Srl Herstellungsverfahren für ein elektronisches Bauelement, das MOS Transistoren mit salizidierten Übergängen und nicht salizidierten Widerständen enthält
US6162688A (en) * 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
US6103563A (en) * 1999-03-17 2000-08-15 Advanced Micro Devices, Inc. Nitride disposable spacer to reduce mask count in CMOS transistor formation
DE19924571C2 (de) 1999-05-28 2001-03-15 Siemens Ag Verfahren zur Herstellung eines Doppel-Gate-MOSFET-Transistors
DE19928564A1 (de) * 1999-06-22 2001-01-04 Infineon Technologies Ag Mehrkanal-MOSFET und Verfahren zu seiner Herstellung
FR2799305B1 (fr) * 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
US6465852B1 (en) 1999-10-20 2002-10-15 Advanced Micro Devices, Inc. Silicon wafer including both bulk and SOI regions and method for forming same on a bulk silicon wafer
US6376286B1 (en) * 1999-10-20 2002-04-23 Advanced Micro Devices, Inc. Field effect transistor with non-floating body and method for forming same on a bulk silicon wafer
US6245636B1 (en) 1999-10-20 2001-06-12 Advanced Micro Devices, Inc. Method of formation of pseudo-SOI structures with direct contact of transistor body to the substrate
US6391658B1 (en) * 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
ATE378692T1 (de) * 2000-02-29 2007-11-15 Nxp Bv Halbleiterbauelement mit zweifachem gate und dessen herstellungsverfahren
DE10012112C2 (de) * 2000-03-13 2002-01-10 Infineon Technologies Ag Steg-Feldeffekttransistor und Verfahren zum Herstellen eines Steg-Feldeffekttransistors
US6982460B1 (en) * 2000-07-07 2006-01-03 International Business Machines Corporation Self-aligned gate MOSFET with separate gates
US6563131B1 (en) 2000-06-02 2003-05-13 International Business Machines Corporation Method and structure of a dual/wrap-around gate field effect transistor
JP2002034179A (ja) * 2000-07-14 2002-01-31 Toshiba Corp 電力制御装置
DE10045045C2 (de) * 2000-09-12 2002-09-19 Infineon Technologies Ag Herstellungsverfahren von Feldeffekttransistoren in integrierten Halbleiterschaltungen
FR2822293B1 (fr) * 2001-03-13 2007-03-23 Nat Inst Of Advanced Ind Scien Transistor a effet de champ et double grille, circuit integre comportant ce transistor, et procede de fabrication de ce dernier
US7189997B2 (en) 2001-03-27 2007-03-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US6982194B2 (en) * 2001-03-27 2006-01-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US6740938B2 (en) * 2001-04-16 2004-05-25 Semiconductor Energy Laboratory Co., Ltd. Transistor provided with first and second gate electrodes with channel region therebetween
FR2838238B1 (fr) * 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6833588B2 (en) * 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6864164B1 (en) 2002-12-17 2005-03-08 Advanced Micro Devices, Inc. Finfet gate formation using reverse trim of dummy gate
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6855582B1 (en) 2003-06-12 2005-02-15 Advanced Micro Devices, Inc. FinFET gate formation using reverse trim and oxide polish
US7271444B2 (en) * 2003-12-11 2007-09-18 International Business Machines Corporation Wrap-around gate field effect transistor
US7041542B2 (en) * 2004-01-12 2006-05-09 Advanced Micro Devices, Inc. Damascene tri-gate FinFET
KR100526887B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 전계효과 트랜지스터 및 그의 제조방법
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
JP2008526040A (ja) * 2004-12-28 2008-07-17 エヌエックスピー ビー ヴィ 帯状のチャネルを有する半導体装置及びその製造方法
JP4405412B2 (ja) * 2005-03-02 2010-01-27 株式会社東芝 半導体集積回路
US7709313B2 (en) * 2005-07-19 2010-05-04 International Business Machines Corporation High performance capacitors in planar back gates CMOS
EP1938381A2 (en) * 2005-09-23 2008-07-02 Nanosys, Inc. Methods for nanostructure doping
US9054194B2 (en) 2009-04-29 2015-06-09 Taiwan Semiconductor Manufactruing Company, Ltd. Non-planar transistors and methods of fabrication thereof
EP2984908B1 (en) 2013-04-08 2022-02-09 PerkinElmer Health Sciences, Inc. Capacitively coupled devices
KR102191215B1 (ko) 2013-12-20 2020-12-16 삼성전자주식회사 에스램 셀 및 그 제조 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62274662A (ja) * 1986-05-22 1987-11-28 Seiko Epson Corp Mis型半導体装置
JPS63308386A (ja) * 1987-01-30 1988-12-15 Sony Corp 半導体装置とその製造方法
JPH0227772A (ja) * 1988-07-15 1990-01-30 Sony Corp 電界効果型薄膜トランジスタ
US5135888A (en) * 1989-01-18 1992-08-04 Sgs-Thomson Microelectronics, Inc. Field effect device with polycrystalline silicon channel
JPH02302044A (ja) * 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
NL8902372A (nl) * 1989-09-21 1991-04-16 Imec Inter Uni Micro Electr Werkwijze voor het vervaardigen van een veldeffecttransistor en halfgeleiderelement.
JP2804539B2 (ja) * 1989-09-28 1998-09-30 沖電気工業株式会社 半導体装置およびその製造方法
JP2660451B2 (ja) * 1990-11-19 1997-10-08 三菱電機株式会社 半導体装置およびその製造方法
JPH0479424U (ja) * 1990-11-23 1992-07-10
JP2794678B2 (ja) * 1991-08-26 1998-09-10 株式会社 半導体エネルギー研究所 絶縁ゲイト型半導体装置およびその作製方法
JPH04318972A (ja) * 1991-04-17 1992-11-10 Kawasaki Steel Corp 半導体素子
US5204279A (en) * 1991-06-03 1993-04-20 Sgs-Thomson Microelectronics, Inc. Method of making SRAM cell and structure with polycrystalline p-channel load devices
US5187114A (en) * 1991-06-03 1993-02-16 Sgs-Thomson Microelectronics, Inc. Method of making SRAM cell and structure with polycrystalline P-channel load devices
JPH0529573A (ja) * 1991-07-24 1993-02-05 Mitsubishi Electric Corp 半導体記憶装置およびその製造方法
JP2650543B2 (ja) * 1991-11-25 1997-09-03 カシオ計算機株式会社 マトリクス回路駆動装置
KR950002202B1 (ko) * 1992-07-01 1995-03-14 현대전자산업주식회사 적층 박막 트랜지스터 제조방법
US5302842A (en) * 1992-07-20 1994-04-12 Bell Communications Research, Inc. Field-effect transistor formed over gate electrode
US5324673A (en) * 1992-11-19 1994-06-28 Motorola, Inc. Method of formation of vertical transistor
KR960002088B1 (ko) * 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5482871A (en) * 1994-04-15 1996-01-09 Texas Instruments Incorporated Method for forming a mesa-isolated SOI transistor having a split-process polysilicon gate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670912B2 (en) 2003-05-15 2010-03-02 Samsung Electronics Co., Ltd. Methods of fabricating multichannel metal oxide semiconductor (MOS) transistors

Also Published As

Publication number Publication date
US5801397A (en) 1998-09-01
EP0704909A2 (en) 1996-04-03
EP0704909B1 (en) 2001-07-04
DE69521579D1 (de) 2001-08-09
JP3869037B2 (ja) 2007-01-17
DE69521579T2 (de) 2002-05-29
EP0704909A3 (en) 1997-09-10
US5705405A (en) 1998-01-06

Similar Documents

Publication Publication Date Title
JP3869037B2 (ja) 半導体装置の製造方法
US6545327B2 (en) Semiconductor device having different gate insulating films with different amount of carbon
US6136655A (en) Method of making low voltage active body semiconductor device
EP0480635A1 (en) Thin film transistor and a method of manufacturing thereof
US6060749A (en) Ultra-short channel elevated S/D MOSFETS formed on an ultra-thin SOI substrate
JPH0846202A (ja) 半導体素子の製造方法
JPH0661493A (ja) 垂直ゲート電界効果トランジスタおよびその製造方法
US5552329A (en) Method of making metal oxide semiconductor transistors
US6724049B2 (en) SOI semiconductor device with insulating film having different properties relative to the buried insulating film
US7648880B2 (en) Nitride-encapsulated FET (NNCFET)
US6271064B2 (en) Thin film transistor and method of manufacturing the same
US6509218B2 (en) Front stage process of a fully depleted silicon-on-insulator device
JPS63281465A (ja) 電界効果トランジスタ及びその中間体の製造方法
JP3147161B2 (ja) 電界効果型トランジスタ及びその製造方法
JP4027440B2 (ja) 半導体基板の製造方法
JPH08181327A (ja) 薄膜トランジスタ及びその製造方法
KR940004415B1 (ko) Mos fet 제조방법 및 그 구조
JPS63305566A (ja) 半導体装置およびその製造方法
JPH06163890A (ja) 半導体装置の製造方法
JPH088431A (ja) 半導体装置及びその製造方法
JPH09199716A (ja) 半導体装置およびその製造方法
JPH08274324A (ja) 半導体装置及び半導体装置の製造方法
KR100214077B1 (ko) 모스트랜지스터 및 그 제조방법
KR100250690B1 (ko) 반도체 장치 및 그 제조 방법
KR0172815B1 (ko) 트랜치형 시모스 인버어터 제조방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050907

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050912

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060926

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061012

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101020

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111020

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111020

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121020

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121020

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131020

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term