JP6743149B2 - 導電性バリアのダイレクトハイブリッドボンディング - Google Patents

導電性バリアのダイレクトハイブリッドボンディング Download PDF

Info

Publication number
JP6743149B2
JP6743149B2 JP2018529502A JP2018529502A JP6743149B2 JP 6743149 B2 JP6743149 B2 JP 6743149B2 JP 2018529502 A JP2018529502 A JP 2018529502A JP 2018529502 A JP2018529502 A JP 2018529502A JP 6743149 B2 JP6743149 B2 JP 6743149B2
Authority
JP
Japan
Prior art keywords
conductive barrier
barrier material
layer
conductive
metal contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018529502A
Other languages
English (en)
Other versions
JP2018528622A5 (ja
JP2018528622A (ja
Inventor
ポール エム エンクイスト
ポール エム エンクイスト
Original Assignee
インヴェンサス ボンディング テクノロジーズ インコーポレイテッド
インヴェンサス ボンディング テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インヴェンサス ボンディング テクノロジーズ インコーポレイテッド, インヴェンサス ボンディング テクノロジーズ インコーポレイテッド filed Critical インヴェンサス ボンディング テクノロジーズ インコーポレイテッド
Publication of JP2018528622A publication Critical patent/JP2018528622A/ja
Publication of JP2018528622A5 publication Critical patent/JP2018528622A5/ja
Application granted granted Critical
Publication of JP6743149B2 publication Critical patent/JP6743149B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/52Mounting semiconductor bodies in containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0382Applying permanent coating, e.g. in-situ coating
    • H01L2224/03825Plating, e.g. electroplating, electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05007Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/05078Plural internal layers being disposed next to each other, e.g. side-to-side arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05547Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05561On the entire surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05562On the entire exposed surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05676Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05681Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/08112Disposition the bonding area being at least partially embedded in the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08123Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting directly to at least two bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08137Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/29286Material of the matrix with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/29287Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29298Fillers
    • H01L2224/29299Base material
    • H01L2224/293Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/3001Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/3005Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/305Material
    • H01L2224/30505Layer connectors having different materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80031Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80035Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by heating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80047Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by mechanical means, e.g. severing, pressing, stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80054Composition of the atmosphere
    • H01L2224/80075Composition of the atmosphere being inert
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80096Transient conditions
    • H01L2224/80097Heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80099Ambient temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8019Arrangement of the bonding areas prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8019Arrangement of the bonding areas prior to mounting
    • H01L2224/80194Lateral distribution of the bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/8093Reshaping
    • H01L2224/80935Reshaping by heating means, e.g. reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • H01L2224/8303Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/832Applying energy for connecting
    • H01L2224/83201Compression bonding
    • H01L2224/83203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Wire Bonding (AREA)

Description

本発明は、ダイレクトボンディングの分野、より具体的には、好ましくは室温又は低温におけるハイブリッドダイレクトボンディング、そしてより具体的には、積層半導体デバイス及び集積回路製造で利用される半導体材料、デバイス、又は回路のボンディング、そして更により具体的には、携帯電話の画像センサ、携帯電話のRFフロントエンド、高性能グラフィック製品の3Dメモリ、及びサーバ内の3Dメモリを含む、消費者用及びビジネス用製品での付加価値部品の製造に関する。
(関連出願の相互参照)
本出願は、特許出願番号第09/505,283号、同10/359,608号、及び同11/201,321号に関連し、これらの出願全体が本明細書に、参照により組み込まれる。
ダイ、チップ、又はウェハーの積層は、低コストでの、より小さいフォームファクタの機能性の増加という継続的なニーズに対する産業上の標準的技法となりつつある。一般的に、積層プロセスの一部として、又は、積層プロセスの後のいずれかに形成される、スタック内の層間での電気的相互接続により、積層を行うことができる。積層プロセスの後に形成される電気的相互接続の例としては、シリコン貫通電極(TSV)のエッチングを使用して、スタック内の1つの層から、スタック内の隣接層まで埋め、スタック内の層間で電気的相互接続を行うことがある。積層プロセスの一部として形成される、これらの3次元(3D)電気的相互接続の例としては、アンダーフィル、ハイブリッドボンディング及びダイレクトハイブリッドボンディングを用いるか又は用いないかのいずれかによる、ソルダーバンプ及び銅ピラーが挙げられる。積層プロセスの一部として3D電気的相互接続が実現することは、TSV(シリコン貫通電極)技術におけるコスト及び除外条件を取り除くことを含むがこれらに限定されない多数の理由のために、有利なことである。ダイレクトボンドインターコネクト(DBI(登録商標))とも呼ばれるダイレクトハイブリッドボンディングは、低温で高強度を付与し、サブミクロン寸法での3D相互接続ピッチスケーリングを可能にする金属及び誘電体表面構成要素にまたがる平面ボンドを含むがこれらに限定されない数多くの理由で、他の積層形態よりも有利である。
ダイレクトハイブリッドボンドに使用する金属及び誘電体表面構成要素は、多種多様な製造技術を用いて形成される多種多様なパターンでの、金属及び誘電体の多種多様な組み合わせで構成することができる。金属の非限定例としては、銅、ニッケル、タングステン、及びアルミニウムが挙げられる。例えば、P.Enquist、「High Density Direct Bond Interconnect(DBI(商標))Technology for Three Dimensional Integrated Circuit Applications」、Mater.Res.Soc.Symp.Proc.Vol.970、2007、p.13〜24;P.Gueguen,et.al.,「3D Vertical Interconnects by Copper Direct Bonding」、Mater.Res.Soc.Symp.Proc.Vol.1112、2009、p.81;P.Enquist、「Scalability and Low Cost of Ownership Advantages of Direct Bond Interconnect(DBI(登録商標))as Drivers for Volume Commercialization of 3−D Integration Architectures and Applications」、Mater,Res.Soc.Symp.Proc.Vol.1112,2009,p.81;Di Cioccio,et.al.,「Vertical metal interconnect thanks to tungsten direct bonding」,2010 Proceedings 60th ECTC,1359〜1363;H.Lin,et.al.,「Direct Al−Al contact using lot temperature wafer bonding for integrating MEMS and CMOS devices」Microelectronics Engineering、85、(2008)、1059〜1061を参照のこと。誘電体の非限定例としては、酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、及び窒化シリコン炭素が挙げられる。例えば、P.Enquist,「3D Technology Platform−Advanced Direct Bond Technology」、C.S.Tan,K.−N.Chen,and S.J.Koester(Editors)、「3D Integration for VLSI Systems」Pan Stanford、ISBN 978−981−4303−81−1、2011、及びJ.A.Ruan,S.K.Ajmera,C.Jin,A.J.Reddy,T.S.Kim、「Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer」、米国特許第7732324(B2)号を参照のこと。多種多様なパターンの非限定例としては、例えば、CMOSのバックエンドオブライン(BEOL)相互接続製造における、ビア及びルーティング層に見られるもの等のビアの配列、又は金属線及びスペースの配列が挙げられる。これらの例において、3D電気的相互接続は、金属ビアをアラインして金属ビアにボンディング、金属ビアをアラインして金属線にボンディング、又は金属線をアラインして金属線にボンディングすることにより形成されてよい。表面をハイブリッドボンドに好適なものにするための製造技術の非限定例には、必要に応じて好適なトポグラフィー仕様を満たすように調整された、業界の標準的なシングル及びデュアルダマシンプロセスがある。
基本的には2種類のCMOS BEOL製造プロセスが存在する。一方は通常、アルミニウム(Al)BEOLと呼ばれ、他方は銅(Cu)BEOLと呼ばれる。Al BEOLプロセスにおいて、好適な導電性バリア層を有するAlを通常、ルーティング層として使用し、好適な導電性バリア層を有するタングステン(W)をビア層として使用し、2つの隣接Alルーティング層を電気的に相互接続する。Alルーティング層は通常、ドライエッチングされ、続いて、誘電体堆積(dielectric deposition)、その後化学機械研磨(CMP)により平坦化される。Wビアは通常、誘電体堆積、ビアのパターニング及び前のルーティング層へのエッチング、導電性バリア層の物理蒸着及びW化学蒸着による埋め込み、並びにW及び導電性バリア層のCMPによる、Wビア、又はプラグの、誘電体マトリックス内での分離からなるシングルダマシンプロセスを用いて形成される。Cu BEOLプロセスにおいて、好適な導電性バリア層を有するCuを通常、ルーティング層及びビア層として使用する。Cuルーティング層及びビア層は通常、誘電体堆積、ビアのパターニング及び部分的に誘電体層を貫通するエッチング、続いて、ビアパターニングと重複するルーティングパターニング、及び同時に継続した、前のルーティング層へのビア(複数可)のエッチング(ここでは、ルーティングが部分的にエッチングしたビアに重複する)、及び、ビアを備えた前のルーティング層に接続するルーティングのための、溝のエッチングからなるデュアルダマシンプロセスにより形成する。代替のデュアルダマシンプロセスは、誘電体堆積、パターニングのルーティング及び前のルーティング層の手前で止められる、誘電体層を通る部分的なエッチング、ビアパターニング及び前のルーティング層へのエッチング(ビアは部分的にエッチングしたルーティング内に存在する)からなり、エッチングは、前のルーティング層へのビアエッチングを完了する。いずれかにより二重にエッチングした表面を次に、例えば、物理蒸着続いて、例えば電気メッキ、又は物理蒸着及び電気メッキによりCuフィリングし、最終的に、Cu及び導電性バリア層をCMPして、誘電体マトリックス内でCuルーティングを分離することにより、導電性バリア層で埋める。
上述した業界標準のW及びCuダマシンプロセスフローのいずれかを使用することにより、例えば上で示したもののような、好適な表面トポグラフィーに通して、ハイブリッドボンディング用の表面を形成することができる。しかし、これらの表面をハイブリッドボンディングのために使用する場合、通常は、例えば、ビア表面のミスアラインメントにより、一方の表面上の金属と、他方の表面上の誘電体との間に不均一なボンド構成成分が存在することとなる。これは、他の場所ではCu又はWで埋められたビアと、周囲の誘電体との間にある介在導電性バリアなしで、一方のボンド表面からのビア埋め込み材料の、他方のボンド表面からの誘電体との直接接触をもたらす可能性がある。
現在CMOS BEOL用ファウンドリにおいて資格を有する材料及びプロセスを高めて、当該ファウンドリにおいてダイレクトハイブリッドボンドプロセスの資格を有するための採用障壁を低下させる、ダイレクトハイブリッドボンドプロセス技術用の低熱履歴を有するワイドプロセスウィンドウを有するのが好ましい。Cu BEOLプロセスは、長年にわたり業界標準であり続けているCuダマシンプロセス、及び、Cuダイレクトハイブリッドボンド技術の、この基盤を高めることができる能力による、このような好ましい能力の例である。高い降伏強度、熱膨張係数(CTE)、元々ある酸化物、及びヒロック形成等の因子の組み合わせにより、このプロセスにおける2つの主な金属(W及びAl)が、W又はAlダイレクトハイブリッドボンド技術のいずれかを開発するのに一層難しい材料であるため、Al BEOLの業界標準プロセスを高めることは、比較的困難である。
本発明の一実施形態は、ダイレクトハイブリッドボンド表面の形成方法に関し、本方法は、第1の基板の上側表面に、第1の複数の金属接触構造体を形成することであって、当該構造体の上面は、上側表面の下方にある、ことと、上側表面と複数の金属接触構造体を覆う、導電性バリア材料の第1の層を形成することと、導電性バリア材料の第1の層を上側表面から取り除くことと、を含む。
本発明、及び本発明の多くの付随する利点のより完璧な理解は同様に速やかに得られ、これらは、添付図面に関連して考慮する場合、以下の詳細の説明を参照することにより一層理解されるようになる。
シングル又はデュアルダマシンプロセスにより形成した導電層における表面付近の領域の断面の概略図であり、充填ビア及び/又はルーティングが含まれ、充填ビア、及び/若しくはルーティングと周囲の誘電体との間には、導電性バリアがある。 周囲の誘電体の表面から導電層を取り除いた後の、図1の断面の概略図である。 導電性バリア材料層を形成した後の、図2の断面の概略図である。 周囲の誘電体の表面から導電性バリア層材料層を取り除いた後の、図3の断面の概略図である。 ボンディングされた、2つのハイブリッドダイレクトボンド表面の概略図である。 それぞれの誘電体層と接触した後の、2つのハイブリッドダイレクトボンド表面の概略図である。 直接ボンディングされた2つのハイブリッドダイレクトボンド表面の概略図である。 ディッシングの結果としての、導電性バリア材料の上側表面の湾曲の概略図である。 類似のビア構造体が導電性バリアとミスアラインメントし、及び、導電性バリアを有するビアが、導電性バリアを有するルーティング構造とアラインメントした、本発明に従った一対の基板の概略図である。 パターン化金属層を露出させる平坦化により、周囲の誘電体と共に平坦化されたパターン化金属層を含む表面の、表面付近領域の断面の概略図であり、ここでは、金属層と周囲の誘電体との間に横方向に存在する導電性バリア層はない。 本発明に従った導電性バリア金属でキャップされた、パターン化金属層の露出表面の導電部分を有する図10の断面の概略図である。 ルーティング構造に対する導電性バリアを有しない、ルーティング構造のアラインメントの一例による、本発明に従った一対の接触基板の概略図であり、ここでは、金属層と周囲の誘電体との間に横方向に存在する導電性バリアはない。 シリコン貫通電極構造を有する本発明の別の実施形態の概略図である。 第2の導電性バリア材料層を有する、図13の構造の概略図である。 側壁に、誘電体層を有するシリコン貫通電極構造を有する本発明の別の実施形態の概略図である。
ここで図面を参照すると、いくつかの図面を通して、同様の参照番号は同様の、又は対応する部品を示す。より具体的には、図1は、導体1、導電性バリア2、誘電体3、及び金属構造体4から構成される、本発明に従ったダイレクトハイブリッドボンディングのプロセスにおける、基板30の表面の断面を示す。金属構造体4は誘電体3の中に形成される。金属構造体4は誘電体3の中に存在し、接点、パッド、線、又は他の金属相互接続構造体であることができる。金属構造体4の上の誘電体3に開口部が形成され、続いて、バリア2及び導体1が形成される。導体1、導電性バリア2及び金属構造体4のサイズ及び厚さは縮尺どおりではないが、本発明を示すために描かれている。開口部及び金属構造体は同一のサイズ及び形状であるように示されるものの、デザイン又はニーズに応じて、これらのサイズ及び形状は異なることができる。
それぞれCu及びAl BEOL用ファウンドリにおいて一般的なCu及びWを含むがこれらに限定されない、導体1用の多種多様な金属が可能である。Cuは物理蒸着(PVD)又は電解メッキ(EP)により成長させることができ、Wは化学蒸着(CVD)により成長することができる。導電性バリア材料2用の多種多様な導電性バリアもまた利用可能であり、これらはCu及びAl BEOL用ファウンドリで一般的なものである。Cu BEOLプロセスにおける導電性バリアとしては、タンタル(Ta)、窒化チタン(TiN)、窒化タンタル(TaN)、窒化タングステン(WN)、酸化ルテニウム(RuO2)、タンタル窒化ケイ素(TaSiN)、チタン窒化ケイ素(TiSiN)、タングステン窒化ホウ素(TBN)、コバルトホウ化タングステン(CoWB)、コバルトリン化タングステン、又はこれらの組み合わせ(例えばTi/TiN及びTa/TaN)が挙げられ、これらはPVD、CVD及び金属有機CVD(MOCVD)を含む多種多様な技術により堆積させることができる。DCマグネトロンスパッタリング、コリメータスパッタリング、及びイオン化金属プラズマ(IMP)を含む多種多様なPVD技術が利用可能である。Al BEOLプロセスにおける導電性バリアとしては、Ti/TiNが挙げられる。他の材料、例えばニッケル(Ni)もまた、バリアとして使用可能である。
Cu及びAl BEOL用ファウンドリで一般的な酸化ケイ素、窒化ケイ素、及び窒化炭化ケイ素を含むがこれらに限定されない多種多様な誘電体もまた、使用可能である。図1の断面により記載される表面を作製する一般的な方法は、上述のダマシンプロセスによるものである。
図1の上側表面をCMP処理し、誘電体3の頂部にある導体1及び導電性バリア2の部分を取り除く。図2は、CMP後の構造体を示す。誘電体3に対する導体1及び導電性バリア2の相対高さは、ダマシンプロセスのCMP部分により制御することができる。
誘電体3に対する導体1及び導電性バリア2の相対高さには、多数の構成が存在する。導体1及びバリア2の上面は、誘電体3の表面に対して下方に、同じ高さに、ほぼ同じ高さに、又は上方にあることができる。一般的に、ダイレクトハイブリッドボンディングは全ての構成で可能である。しかし、好ましい構成においては、導体1と導電性バリア2の相対高さが、誘電体3よりも距離t1だけ下方にある。この構成は、空洞のないボンド界面の形成を促し、ボンド表面にまたがる相対高さの変化に関して、一層製造しやすい。ダイレクトハイブリッドボンディングに最も好適な表面に関して、誘電体3の下方にある導電層のボンド表面にまたがる相対高さの変化は、例えば、誘電体3の下方に1〜10ナノメートル等であるが、より小さい変化、及びより大きな変化もまた可能である。このくぼみは通常、ディッシングと呼ばれる。得られた表面は、導電性バリア2を有しないハイブリッドボンド表面と呼ばれる。
ハイブリッドボンディングに適合可能なディッシングの典型量は0〜20nmであり、標準ディッシングと呼ばれる。標準ディッシングは、図3に示す、後続の導電性バリア7の厚さに相当する量だけ増加させられる。導電性バリア7は、図2に示すように、ディッシングを形成する開口部5を増加させたものの上に形成される。これにより、ディッシングは、標準ディッシングと同等のものになり、ダイレクトハイブリッドボンドに望ましいものとなる。標準ディッシングの増加の例は5〜20nmであり、合計のディッシングt1が約5〜40nmとなる。標準ディッシングのこの増加は多種多様な方法により、例えば、所望のディッシングの増加が達成されるまで、標準ディッシングを作製するために使用したCMPを増加させることにより、形成することが可能である。CMPのこの増加は、CMP時間の増加、慣例の較正により測定可能であり、CMPパッド、スラリー、ダウンフォース、キャリア及びテーブル回転の関数であることができるCMPの量、並びに、ハイブリッド表面上での導体及び誘電体のパターンにより達成することができる。
図3に示すように、導電性バリア金属6の層を、図2に示す表面31の構造上に形成する。バリア6は、導電性バリア2と同じ、又は異なる材料であることができる。ディッシングを増加させた後での、導体1の頂部へのバリア6の形成は、多数の方法で、例えば、表面全体に導電性バリアを堆積させた後CMPを行い、くぼみの中から、層6の相当量又は全ての導電性バリア材料を取り除くことなく、より高い誘電体表面から導電性バリアを取り除くことを含むダマシンプロセスにより、行うことができる。バリア形成は、選択的プロセス、例えば無電解ニッケル電気メッキ(electro-less nickel electroplating)によってもまた行うことができる。得られる構造体は、導体1及び導電性バリア2の頂部の開口部5のそれぞれに導電性バリア7を有する。この得られるディッシングは、ダイレクトハイブリッドボンドに必要な適合性を有することが好ましい、即ち、導電性バリア7の表面は誘電体3の下方20nm未満に、好ましくは1〜10nmにある。図4に概略的に示す、得られる表面の断面は、導電性バリア7を有するハイブリッドボンド表面と呼ばれる。
層6の厚さは、図3に示すように、導体1/バリア2のディッシングの量未満であることができる、又は、ディッシングのこの量と同じ若しくはこの量より厚くすることができる。前者の場合では、層6の一部のみがくぼみから取り除かれるか、層6が取り除かれない。層6がくぼみの量と同じ又は厚い場合、層6はCMPにより、くぼみの中から取り除かれる。層6は全ての場合で取り除かれるため、得られるディッシングは、バリア7の形成において20nm未満、好ましくは1〜10nmである。
基板30の各ハイブリッドボンド表面は、デバイス及び/又は集積回路(図示せず)を、これらのデバイス及び/又は集積回路が、ハイブリッドボンドの完了後に互いに接続することができるように、含有することができる。デバイス及び回路は、金属構造体4を含有することができる、又は図示しない相互接続構造を更に介して、金属構造体4に接続することができる。
図4に示すもの等の断面概略図を有する導電性バリア7をそれぞれ備える基板30及び32の、2つのハイブリッドボンド表面をここで、図5及び6の断面図に示すように、互いにダイレクトハイブリッドボンディングして、ダイレクトハイブリッドボンド12を形成することができる。基板30及び32をアラインして(図5)、基板30及び32中の誘電体層3が互いに接触する(図6)ように配置して直接接触させる。アラインメント及び接触は、室内環境又は真空下にて、室温で実施することができる。図は、基板30及び32のバリア7間のギャップを概略的に示すものの、アラインメント及び接触後に、バリア7間で部分的、又はかなりの接触があってよい。図6は一対一の接続配置を示すが、1つの基板の中の複数の金属構造体が、別の基板の1つの金属構造体に接続する等の、他の配置が可能である。
基板30及び32の誘電体表面は、特許出願第09/505,283号、同10/359,608号、及び同11/201,321号に記載されているとおりに作製されるのが好ましい。手短に言えば、表面を所望のボンディング種によりエッチング、研磨、活性化、及び/又は末端処理して、基板30及び32上の誘電体3間での化学結合を促進及び向上させてよい。粗さが0.1〜3nm rmsの、誘電体3の滑らかな表面を作製し、これをウェット又はドライプロセスにより活性化及び/又は末端処理する。
基板表面を室温で接触させると、基板表面の誘電体3は、接触点(1つ又は複数)にて結合を形成し始め、化学結合した領域が増加して、ウェハー間でのボンディング引力が増加する。この接触には、バリア7を含むことができる、又はバリア7の接触を含まないことができる。接触がバリア7を含む場合、誘電体3内での基板間化学結合により圧力が生まれ、これにより、バリア7の接触領域が強力に結合する力がもたらされ、基板30及び32内の誘電体3間での化学結合が、2つの異なるウェハー上の金属パッド間での電気的接続を生み出す。
基板30及び32の誘電体3間でのボンドから生み出される、互いに対するバリア7の内圧は、例えば、元の酸化物又は他の汚染物質(例えば炭化水素)が原因で、好ましい抵抗の低さを有する電気的接続を達成するには十分でない場合がある。改善された結合、又は好ましくは低抵抗性電気接続は、バリア7上に元々ある酸化物を取り除くことにより達成されてよい。例えば、希釈したフッ化水素酸を使用して表面を洗浄してよく、又は、ボンディングが導電性となるまで元々ある酸化物を取り除いた後、基板30及び32の表面を不活性環境、例えば窒素又はアルゴンにさらしてよい。
内圧もまた、バリア7の表面が互いに十分に接触するのに満足できないものであり得る。あるいは、又は加えて、バリア7間の改善された結合、又は好ましくは低抵抗性電気接続を、加熱により達成することができる。加熱の例としては、接触構造体4、バリア6及び導体1に使用する材料に応じて、10分〜2時間の間で、100〜400℃の範囲の温度が挙げられる。所与の材料の組み合わせに対する時間と温度の最適化が可能である。例えば、高温で短時間の加熱が可能であってよく、低温で長時間の加熱が可能であってよい。加熱時間をどれほど最小化できるか、及び/又は、加熱温度をどれほど最小化できるかは、具体的な構造及び材料の組み合わせに依存し、一般的なプロセス最適化を実践することで決定することができる。例えば、バリア7がニッケルである場合、結合を改善し、電気接続を改善するには、300℃の温度で2時間が十分であり得、又は、350℃の温度で15分が十分であり得る。より高温及びより低温、並びに/又はより長時間及びより短時間もまた、バリア7の材料、及びバリア7の下の他の材料に応じて可能である。温度増加は、元々ある酸化物又は他の汚染物質を減少させることにより、又は、導体1及びバリア7の熱膨張でバリア7間の内圧を増加させることにより、好ましくは低抵抗性電気接続をもたらすことができる。材料4、及び材料4の下方の他の材料(図示せず)もまた、バリア7の下方の構造の熱膨張を増加させてよく、これに従い、対向するバリア7間での圧力を増加させてよい。例えば、材料4が、関連するCTE及びヤング率を有するアルミニウムである場合、低いCTE及び/又はヤング率を有する代替の材料4と比較して、より高圧が生み出される。加熱によってもまた、バリア7間の相互拡散が増加して、好ましい低抵抗性電気接続を生み出し得る。
基板30及び32の誘電体3間での初期結合にバリア7が含まれない場合、加熱をすることで、誘電体3よりも高いバリア7のCTEによって、バリア7間での接触をもたらすことができる。加熱量、又は温度上昇量は、バリア7間の分離、厚さ、CTE、並びに、バリア7及び導体1及び金属構造体4のヤング率に依存し、この理由は、これらのパラメータが、所与の温度上昇に関して、対向するバリア7間での圧力に影響を及ぼすためである。例えば、バリア7間での分離を最少化(例えば10nm未満)することにより、20nmの分離と比較して、加熱を減らすことができる。更なる例として、バリア7及び導体1の熱膨張が、厚さと共に増加するため、バリア7及び/又は導体1の高さ又は厚さによって、圧力が増加する。例えば、バリア7及び導体1の膨張の典型的な増加は、厚さに比例する。更なる例として、材料のヤング率が高ければ高いほど、圧力を生み出した際に降伏しにくくなるため、高いヤング率を有する導体1は、低いヤング率を有する代替の導体1よりも高い圧力を生み出すことが予想される。低いヤング率を有するバリア7は、低い圧力での降伏により接続形成が容易になり得るため、さほど加熱を必要としない場合がある。それ故、基板30及び32の表面が最初に接触する際にバリア7が密接しない場合、加熱の後、導体1及びバリア7の熱膨張は図7に示すように、密接した低抵抗性接続をもたらす。
上記例では、導体1/バリア2、及びバリア7の表面は平坦に示すが、一方又は両方が、CMPプロセスによりいくらかの湾曲を有してもよい。図8にプロファイルを示すが、ここでは両方が湾曲している。図8において、基板33は、表面が変化しているバリア7、及び導体1/バリア2を有するように示される。バリア7の厚さは、導体1の粗さの範囲を収容するのに十分な厚さである一方で、製造が複雑になるほど厚くはないことが好ましい。典型的な厚さの範囲は5〜20nmであることができる。湾曲の中間及び端にあるバリアの相対的厚さは、例えば、接触部1の表面を形成するために使用したCMPプロセスとバリア7の表面を形成するために使用したCMPプロセスの異なる特性のために、導体1上へのバリア7の堆積、及びバリア7の湾曲形成前の、接触部1の表面での表面形成の湾曲に応じてより厚い、又はより薄いことができる。バリア7の中央のくぼみは、誘電体3の表面よりも20nm未満、好ましくは、1〜10nm下方にある。
図9は、ハイブリッドボンド表面を有する2つの基板34及び35の上部を示す。導電性バリアを備えるハイブリッドボンド表面は、下にあるトレース構成要素(図示せず)に接続したビア構成要素8、又は、下にあるビア構成要素(図示せず)に接続したトレース構成要素9を含むことができる。ボンディングの後、典型的には、導電性バリアを備えるそれぞれのハイブリッドボンド表面間に、ある程度の量のミスアラインメントが存在する。このミスアラインメントにより、図9の10で示すように、第1のハイブリッドボンド表面上の導電性バリア7と、第2のハイブリッドボンド表面上の誘電体表面6との接触、及び、第1のハイブリッドボンド表面上の誘電体表面6と、第2のハイブリッドボンド表面上の導電性バリア7との接触がもたらされ得る。更に、このミスアラインメントにより、図9の11で示すように、一方のハイブリッドボンド表面上の導電性バリア7と、別の表面上の誘電体表面6との接触、及び、一方の表面からの導電性バリア7の表面全体と、別のハイブリッドボンド表面上の導電性バリア7の表面の一部との接触がもたらされ得る。
このミスアラインメントにもかかわらず、第1又は第2のハイブリッドボンド表面のいずれかの誘電体3の表面は、別のハイブリッドボンド表面上のいずれかの導電性バリア7と接触し、第1又は第2のハイブリッドボンド表面のいずれかの導電性バリア7が、本発明に従い、別のハイブリッドボンド表面の導電性バリア7、又は、誘電体3の表面のいずれかと接触する。導体1の頂部の導電性バリア7はそれ故、ミスアラインメントにもかかわらず、導体2と誘電体3との接触を防止する。主題である本発明のこの特徴は、例えば、Cuが誘電体3と直接接触している場合に、Cuが誘電体3中に拡散するという懸念が存在する用途に関して、例えば、Cu BEOLで製造するCuのシングル又はデュアルダマシン・ダイレクトハイブリッドボンド表面を有する導体1として、Cuを使用するときに、ダイレクトハイブリッドボンドの信頼性を改善することができる。この特徴は、対向する表面上の導体1間で電気接続を行うことが、対向する表面上の導体1の頂部にある導電性バリア7間で電気接続を行うよりも困難である場合に、例えば、導体1が、Al BEOLで製造されたWプラグ・シングルダマシン・ダイレクトハイブリッドボンド表面である場合、いくつかの構造体に対する、ボンド界面にわたる電気接続の形成もまた容易にし得る。
図2に示すディッシングの量は、くぼみになった導電性部分を有するこれらの表面を使用することで、後のダイレクトハイブリッドボンドの熱履歴に影響を及ぼすことができる。例えば、ダイレクトハイブリッドボンド表面をまず配置して直接接触させた後、誘電体部分は直接接触してよく、また、くぼんだ導電性部分の全て又は一部は、くぼみのために直接接触しなくてもよい。くぼんだ導電性部分を有するこれらのダイレクトハイブリッドボンド表面を加熱することにより、くぼんだ導電性部分の膨張がもたらされ、ある温度において直接接触させることが可能となる。この温度は、ダイレクトハイブリッドボンド表面が接触させられた温度を超えた、対向するくぼんだ導電性部分間の電気接続を容易にするような大きな圧力が生じる温度であり、また、より高い温度である。これらのより高温は、対向するくぼんだ導電性部分間での電気的相互接続の形成、及びダイレクトハイブリッドボンドの完了を容易にすることができる。くぼんだ部分を直接接触させ、対向するくぼんだ導電性部分間での電気接続を容易にする大きな圧力を生み出すのに必要な温度は、導電性材料、導電性材料上に残る酸化物又は元々ある酸化物、導電性材料の降伏強さ、及び、導電性材料のディッシング又はくぼみの組み合わせである。例えば、対向する導電性バリア7表面間で金属結合を形成するのに必要な導体1及び導電性バリア7の膨張が少ないため、ディッシングが少ないと、低温又は室温での、対向する誘電体表面の最初のダイレクトボンディング後のハイブリッドボンドを完了するために必要な熱履歴を低くすることができる。
例えば、Niを導電性バリアとして使用する場合、導電性バリアをキャップすることなく銅を用いる場合に十分となることができる約200℃と比較して、約350℃まで加熱することにより10nmのくぼみを備えることができる。熱履歴を減らすために、降伏強さが低く、ディッシングの少ないCTE(熱膨張係数)の大きい材料を使用するのが通常有用である。一般的に、CTE及び降伏強さは、選択するバリアにより決まり、ディッシングは、変化させることで好適な熱履歴を達成し得る変数である。熱履歴は、導体の下の材料によってもまた影響を受けることができる。例えば、導体1の下のCTEが大きい(例えば約15ppm/℃)、例えば、図4に示す金属構造体4を伴う導体1は、導体1及び/又は低いCTEを有する金属構造体4よりも低い熱履歴を有して、ハイブリッドボンド電気接続を形成してよい。15ppm/℃を上回る大きなCTEを有する金属の例としては、Al及びCu BEOLプロセスで一般的な導体であるCu及びAlが挙げられる。
本発明に従った第2の実施形態において、誘電体部分14により囲まれた導電性部分13は、図10に示すように、基板36の中にダイレクトハイブリッドボンド表面15を含む。導電性部分13の例はアルミニウムであり、誘電体部分14の例は層間誘電体であり、この例としては酸化ケイ素、及びAl BEOLで使用する他の誘電体があり、これらの誘電体は、Al BEOLで使用する典型的な材料の例である。金属部分13は、相互接続した下の層に接続したビア及び/又はルーティングパターンを含んでよい。例えば、導電性部分がビアのみで構成される場合、誘電体部分14は連続していてよく、又は、例えば導電性部分がルーティングパターンにより分離されている場合、連続していなくてよい。本実施形態では、ダイレクトハイブリッドボンド表面15は、ダイレクトハイブリッドボンディング規格内にディッシングした導電性部分を有するのが好ましい。この表面は、Alの金属被覆、誘電体堆積、及びCMP平坦化を組み合わせて、図10に示す断面を有する表面を形成することにより形成することができる。Alの金属被覆には、頂部に導電性バリア(例えばTi)を含んでよい。導電性バリアが存在し、このバリアがCMP平坦化により取り除かれる場合、表面は図10に示す断面を有する。導電性バリアがCMP平坦化により完全に取り除かれないほど十分に厚く、ハイブリッドボンディング用のハイブリッドボンド表面の導電性バリアの好適なディッシングt2(例えば、0〜20nm)が存在する場合、例えば図11に示すこの表面は、更なる導電性バリア堆積及びCMPなしで、ダイレクトハイブリッドボンディングに好適となることができる。
図10に記載するディッシングt2は、後続の導電性バリア16の厚さに相当する量だけ増加させられる。導電性バリア16は、増加させたディッシングの上に形成される。これにより、ディッシングは、図10のディッシングと同等のものになり、ダイレクトハイブリッドボンドの要件に望ましいものとなる(図10)。この厚さの増加は、約5〜20nmの範囲である。標準ディッシングのこの増加は多種多様な方法で、例えば、ダイレクトハイブリッドボンドに必要なディッシングに適合していたディッシングから、CMPの量を増加させることにより、形成することができる。増加したディッシングの頂部にバリアを形成することは多数の方法で、例えば、表面(図3に類似)全体に導電性バリアを堆積させた後、CMPを行い、くぼみの中から相当量又は全ての導電性バリアを取り除くことなく、より高い誘電体表面17から導電性バリアを取り除くことを含むダマシンプロセスにより、行うことができる(図11)。形成したバリアの厚さは、増加したディッシングの厚さに相当する、当該厚さより大きい、又は当該厚さより小さいことができる(例えば約40nm未満)。バリアの形成後、最終のバリア厚さ及びディッシングをCMPにより制御することができる。
本実施形態では、この得られるディッシングは、ダイレクトハイブリッドボンドに必要なディッシングと適合性があることが好ましい。得られる表面の断面は、基板37を示す図11に概略的に示し、下にある導電性バリアと接触していない導電性バリア16を有するハイブリッドボンド表面18と呼ばれる。バリア形成は、選択的プロセス、例えば無電解ニッケル電気メッキによってもまた行うことができる。
図11の断面概略図に示すように形成される、導電性バリア16を有する基板38及び39の2つのハイブリッドボンド表面はここで、図12の断面に示すように、互いにダイレクトハイブリッドボンドして、下にある導電性バリアなしで、導電性バリア16とダイレクトハイブリッドボンドを形成することが可能である。各ハイブリッドボンド表面は基板の表面であり、各基板は、これらのデバイス及び/又は集積回路が、ハイブリッドボンドの完了後に互いに接続可能となるようにデバイス及び/又は集積回路を含有することができる。導電性バリアを備えるハイブリッドボンド表面は、下にあるトレース構成要素(図示せず)に接続したビア構成要素、又は、下にあるビア構成要素(図示せず)に接続したトレース構成要素19を含むことができる。
ボンディングの後、典型的には、導電性バリアを備えるそれぞれのハイブリッドボンド表面間に、ある程度の量のミスアラインメントが存在する。このミスアラインメントにより、図12の20で示すように、第1のハイブリッドボンド表面上の導電性バリア16と、基板36の第2のハイブリッドボンド表面表面上の誘電体表面17との接触、及び、第1のハイブリッドボンド表面上の誘電体表面17と、第2のハイブリッドボンド表面上の導電性バリア16との接触がもたらされ得る。更に、このミスアラインメントにより、図12の21で示すように、一方のハイブリッドボンド表面上の導電性バリア16と、別の表面上の誘電体表面17との接触、及び、一方の表面からの導電性バリア16の表面と、別のハイブリッドボンド表面上の導電性バリア16の表面の一部との接触がもたらされ得る。
このミスアラインメントにもかかわらず、第1又は第2のハイブリッドボンド表面のいずれかの誘電体表面17は、別のハイブリッドボンド表面上のいずれかの導電性バリア16と接触し、第1又は第2のハイブリッドボンド表面のいずれかの導電性バリア16は、本発明に従った別のハイブリッドボンド表面上の導電性バリア16又は誘電体表面17のいずれかと接触する。この特徴は、対向する表面上の導体13間で電気接続を行うことが、対向する表面上の導体13の頂部にある導電性バリア16間で電気接続を行うよりも困難である場合に、例えば、導体13がAl BEOLで製造されたAlルーティング表面である場合、いくつかの構造体に対する、ボンド界面にわたる電気接続の形成もまた容易にし得る。
図11に示すディッシングの量は、これらの表面を使用する後のダイレクトハイブリッドボンドの熱履歴に影響を及ぼすことができる。例えば、対向する導電性バリア16表面間で金属結合を形成するのに必要な導体13の膨張が少ないため、ディッシングが少ないと、低温又は室温での、対向する誘電体表面の最初のダイレクトボンディング後のハイブリッドボンドを完了するために必要な熱履歴を低くすることができる。
本発明に従った第3の実施形態において、ハイブリッド表面は、図13〜図15に示すように、導電性シリコン貫通電極(TSV)構造23及び35を含む。それぞれの図は、図解の便宜上、上の図1〜図4に類似の方法で形成した導電性バリア材料層26を有する(23)、及び有しない(25)2つの異なる構造を示す。TSVは基板40を通って延び、基板41内の金属導体4と接触する。TSV23及び25の導電性材料は、Cu若しくはW等の金属、又は多結晶シリコン等の非金属から構成することができる。導電性材料は図13に示すように、絶縁性材料24に隣接することができ、又は、基板42を含む図14で示すように、導電性材料と絶縁性材料との間に配置されたバリア層27を有してよい。
別の実施例では、TSV23及び25は、図15に示すように、導電性材料と半導体基板43との間に配置された絶縁性バリア28を有してよい。TSVは、第1及び第2の実施形態に記載するように、増加させたディッシングによるくぼみ形成が行われてよく、導電性バリア26が、第1及び第2の実施形態に記載するように、この増加したディッシング内に形成されて、ダイレクトハイブリッドボンディングに好適なディッシングを有するハイブリッドボンド表面を形成する。これらの種類の表面は互いにダイレクトハイブリッドボンドされてよく、例えば、TSV表面がCMOS構造体の背面を通して露出される場合、いわゆる背合わせ(back-to-back)ダイレクトハイブリッドボンドの形成をもたらす。これらのハイブリッドボンド表面の1つを使用して、CMOS構造体の前面、例えば、Cu BEOL又はAl BEOLの頂部に形成されるハイブリッドボンド表面にダイレクトハイブリッドボンドを形成し、いわゆる前面背面(front-to-back)ダイレクトハイブリッドボンドを形成することもまた可能である。
本発明において、BEOLビア埋め込み金属は、導電性バリアで完全に被覆されることができる。更に、本発明は、ハイブリッドボンド製造による、ダイレクトハイブリッドボンディング用の誘電体及び導電性バリア材料の利用を可能にする。現在CMOS BEOLファウンドリの資格を有する材料及び/又はプロセスを高める、ダイレクトハイブリッドボンドプロセス用のプロセスウィンドウを改善することができる。本発明はまた、製造業者がダイレクトハイブリッドボンド技術に適合するための採用障壁を下げることを可能にし、CMOS BEOLで使用される絶縁誘電体と導電性バリア材料との組み合わせを使用するダイレクトハイブリッドボンド表面を作製し、ヒロック形成を抑制するダイレクトハイブリッドボンド表面用の方法及び構造を提供することが可能であり、ダイレクトハイブリッドボンディングでの熱履歴を低下させることができる。
本発明の用途としては、3D SOC用の加工済み集積回路の垂直的統合、マイクロパッドのパッケージング、フリップチップボンディングの低コストかつ高性能での置き換え、ウェハースケールパッケージング、熱管理、及び、金属ベースのデバイス等の独自のデバイス構造が挙げられるが、これらに限定されない。用途としては更に、裏面照射型イメージセンサ、RFフロントエンド、ピコプロジェクタ及びジャイロを含むがこれらに限定されない微小電気機械システム(MEMS)、ハイブリッドメモリキューブ、高帯域幅メモリ、及びDIRAMを含むがこれらに限定されない3Dスタックメモリ、インターポーザ上でのFPGAタイリングを含むがこれらに限定されない2.5D等の集積回路が挙げられるが、これらに限定されない。製品としてのこれらの回路は、携帯電話及び他のモバイルデバイス、ラップトップ、並びにサーバを含むがこれらに限定されずに使用される。
本発明の多数の修正及び変更が、上記教示の観点で可能である。それ故、添付の特許請求の範囲内で、本明細書において具体的に記載される以外の態様で、本発明が実践されてよいことが理解されるべきである。

Claims (25)

  1. ダイレクトハイブリッドボンド表面の形成方法であって、
    第1の基板の上側表面に、第1の複数の金属接触構造体を形成することであって、前記第1の複数の金属接触構造体の上面は、前記上側表面の下方にある、ことと、
    前記上側表面と前記第1の複数の金属接触構造体を覆う、導電性バリア材料の第1の層を形成することであって、前記導電性バリア材料の第1の層は、前記上側表面を覆う第1の露出部分を含む、ことと、
    前記導電性バリア材料の第1の層の前記第1の露出部分を前記上側表面から除去して、前記第1の複数の金属接触構造体上に前記導電性バリア材料の第1の層の第2の露出部分を残し、前記ダイレクトハイブリッドボンド表面を形成するように構成することであって、前記導電性バリア材料の第1の層の前記第2の露出部分は、前記上側表面の下方に配置された露出上面を含む、ことと、
    前記上側表面と前記第1の複数の金属接触構造体を覆う、導電性バリア材料の前記第1の層を形成する前に、導電性バリア材料の第2の層を、前記金属接触構造体の底部及び側面に形成することを含む、方法。
  2. 前記複数の金属接触構造体上の前記導電性バリア材料の前記露出上面は、前記除去後に前記基板の前記上側表面より20nm未満下方にある、請求項1に記載の方法。
  3. 前記複数の金属接触構造体上の前記導電性バリア材料の前記露出上面は、前記除去後に前記基板の前記上側表面より約1〜10nm下方にある、請求項1に記載の方法。
  4. 前記金属接触構造体の前記上面を、前記上側表面より約5〜40nm下方に形成することを含み、前記複数の金属接触構造体上の前記導電性バリア材料の前記露出上面は、前記除去後に前記上側表面より1〜10nm下方にある、請求項1に記載の方法。
  5. 前記導電性バリア材料の第1の層及び第2の層を形成して、前記金属接触構造体の全体を取り囲むことを含む、請求項1に記載の方法。
  6. 前記基板上の誘電体層に前記金属接触構造体を形成することを含む、請求項1に記載の方法。
  7. 基板のボンディング方法であって、
    第1及び第2の基板のそれぞれの上側表面に、第1及び第2の複数の金属接触構造体を形成することであって、前記第1の複数の金属接触構造体の第1の上面は、前記第1の基板の前記上側表面の下方にあり、前記第2の複数の金属接触構造体の第2の上面は、前記第2の基板の前記上側表面の下方にある、ことと、
    前記それぞれの上側表面と前記第1及び第2の複数の金属接触構造体を覆う、導電性バリア材料のそれぞれの第1の層を形成することであって、前記導電性バリア材料のそれぞれの第1の層は、前記第1及び第2の基板の前記それぞれの上側表面を覆うそれぞれの第1の露出部分を含む、ことと、
    前記導電性バリア材料の第1の層の前記第1の露出部分を、前記第1及び第2の基板の前記上側表面から除去して、前記第1及び第2の複数の金属接触構造体上に、前記導電性バリア材料のぞれぞれの第2の露出部分を残すことであって、前記導電性バリア材料の前記第1の層の前記第2の露出部分は、前記それぞれの上側表面の下方に配置された露出上面を含む、ことと、
    前記それぞれの上側表面と前記第1及び第2の複数の金属接触構造体を覆う、導電性バリア材料のそれぞれの第1の層を形成する前に、導電性バリア材料のそれぞれの第2の層を、前記第1及び第2の複数の金属接触構造体の底部及び側面に形成することと、
    前記第1の基板の前記上側表面を、前記第2の基板の前記上側表面に直接ボンディングすることと、
    前記第1の複数の金属接触構造体上の前記導電性バリア材料の残り部分を、前記第2の複数の金属接触構造体上の前記導電性材料のそれぞれの残り部分に直接ボンディングすることと、を含む、方法。
  8. 前記第1及び第2の上面を、それぞれ前記第1及び第2の基板の前記上側表面より約5〜40nm下方に形成することを含み、前記第1及び第2の複数の金属接触構造体上の前記導電性バリア材料の上面は、前記除去後に前記第1及び第2の上面よりそれぞれ1〜10nm下方にある、請求項7に記載の方法。
  9. 前記導電性バリア材料の第1の層及び第2の層を形成して、前記金属接触構造体の全体を取り囲むことを含む、請求項7に記載の方法。
  10. 前記第1及び第2の基板上のそれぞれの誘電体層に、前記第1及び第2の複数の金属接触構造体を形成することを含む、請求項7に記載の方法。
  11. 前記複数の金属接触構造体上の前記導電性バリア材料の上面が、それぞれ前記第1及び第2の基板の前記上側表面より20nm未満下方にあるように、前記導電性バリア材料の第1の層を除去することを含む、請求項7に記載の方法。
  12. 前記第1及び第2の複数の金属接触構造体上の前記導電性バリア材料の上面が、それぞれ前記第1及び第2の基板の前記上側表面より約1〜10nm下方にあるように、前記導電性バリア材料のそれぞれの第1の層を除去することを含む、請求項7に記載の方法。
  13. 前記上側表面を覆う前記導電性バリア材料の第1の層を形成することは、前記上側表面の全体を覆うことを含む、請求項1に記載の方法。
  14. 素子のダイレクトハイブリッドボンド表面を含む構造体であって、前記ダイレクトハイブリッドボンド表面は、
    誘電体層と、
    導電性接触構造体と、
    前記導電性接触構造体のそれぞれの上側表面に直接形成した第1の導電性バリア材料層と、を含み、
    前記導電性接触構造体の底部及び側面に導電性バリア材料の第2の層を含み、
    前記第1の導電性バリア材料層の上側表面は、前記誘電体層の上側表面より下方にくぼんでおり、前記第1の導電性バリア材料層の前記上側表面は、別の素子に接触するように構成された接触面を含む、構造体。
  15. 前記導電性接触構造体の上面は、前記誘電体層の前記上側表面より約5〜40nm下方にある、請求項14に記載の構造体。
  16. 前記導電性バリア材料の第1及び第2の層は、前記導電性接触構造体の全体を取り囲んでいる、請求項14に記載の構造体。
  17. 第1及び第2のそれぞれの素子上の第1及び第2のダイレクトハイブリッドボンド表面を含む、ボンド構造であって、前記第1及び第2のダイレクトハイブリッドボンド表面のそれぞれは、
    誘電体層と、
    導電性接触構造体と、
    前記導電性接触構造体のそれぞれの上側表面に直接形成した第1の導電性バリア材料層であって、接触面を含む第1の導電性バリア材料層と、を含み、
    前記導電性接触構造体の底部及び側面に配置された第2の導電性バリア材料層を含み、
    前記第1の導電性バリア材料層は、前記第1及び第2のハイブリッドボンド表面のそれぞれの前記導電性接触構造体の前記上側表面間に配置され、
    前記第1及び第2のダイレクトハイブリッドボンド表面の前記誘電体層は、互いに直接接触し、かつ直接ボンディングされており、前記第1及び第2のダイレクトハイブリッドボンド表面の前記第1の導電性バリア材料層は互いに直接接触している、ボンド構造。
  18. 前記第1及び第2の導電性バリア材料層は、前記導電性接触構造体の全体を取り囲んでいる、請求項17に記載の構造。
  19. 前記誘電体層は、前記第1の導電性バリア材料層の周囲に配置されている、請求項17に記載の構造。
  20. 前記導電性接触構造体の前記側面上の第2の導電性バリア材料層が、前記誘電体層のボンド表面と非平行に延びる前記導電性構造体の周辺部の少なくとも一部に沿って配置されている、請求項19に記載の構造。
  21. 前記第1の導電性バリア材料層は、上側表面を含み、前記第1及び第2のダイレクトハイブリッドボンド表面の前記導電性バリア材料層は、前記上側表面において互いに直接接触している、請求項17に記載の構造。
  22. 前記第1の導電性バリア材料層のそれぞれは、前記第1の導電性バリア材料層の前記上側表面に対向する下側表面を含み、前記下側表面は、前記導電性接触構造体と直接接触している、請求項21に記載の構造。
  23. 前記第1の導電性バリア材料層の前記上側表面は、前記第1及び第2のダイレクトハイブリッドボンド表面とほぼ同一平面上にある、請求項21に記載の構造。
  24. 前記第1の導電性バリア材料層の前記上側表面は、前記誘電体層の前記上側表面より約1〜10nm下方にくぼんでいる、請求項14に記載の構造体。
  25. 前記第1の導電性バリア材料層の前記上側表面は、前記第1の導電性バリア材料層の前記上側表面上に空洞が形成されるようにくぼんでいる、請求項14に記載の構造体。
JP2018529502A 2015-08-25 2016-08-25 導電性バリアのダイレクトハイブリッドボンディング Active JP6743149B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/835,379 2015-08-25
US14/835,379 US9953941B2 (en) 2015-08-25 2015-08-25 Conductive barrier direct hybrid bonding
PCT/US2016/048609 WO2017035321A1 (en) 2015-08-25 2016-08-25 Conductive barrier direct hybrid bonding

Publications (3)

Publication Number Publication Date
JP2018528622A JP2018528622A (ja) 2018-09-27
JP2018528622A5 JP2018528622A5 (ja) 2019-10-03
JP6743149B2 true JP6743149B2 (ja) 2020-08-19

Family

ID=58100993

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018529502A Active JP6743149B2 (ja) 2015-08-25 2016-08-25 導電性バリアのダイレクトハイブリッドボンディング

Country Status (7)

Country Link
US (4) US9953941B2 (ja)
EP (1) EP3341956A4 (ja)
JP (1) JP6743149B2 (ja)
KR (2) KR102659849B1 (ja)
CN (2) CN108140559B (ja)
TW (1) TWI702659B (ja)
WO (1) WO2017035321A1 (ja)

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
EP2654074B1 (de) * 2010-03-31 2016-10-26 EV Group E. Thallner GmbH Verfahren zum permanenten Verbinden zweier Metalloberflächen
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
FR3011679B1 (fr) * 2013-10-03 2017-01-27 Commissariat Energie Atomique Procede ameliore d'assemblage par collage direct entre deux elements, chaque element comprenant des portions de metal et de materiaux dielectriques
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9455182B2 (en) 2014-08-22 2016-09-27 International Business Machines Corporation Interconnect structure with capping layer and barrier layer
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US10811388B2 (en) 2015-09-28 2020-10-20 Invensas Corporation Capacitive coupling in a direct-bonded interface for microelectronic devices
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) * 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US20180233479A1 (en) * 2017-02-16 2018-08-16 Nanya Technology Corporation Semiconductor apparatus and method for preparing the same
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
IT201700053902A1 (it) 2017-05-18 2018-11-18 Lfoundry Srl Metodo di bonding ibrido per wafer a semiconduttore e relativo dispositivo integrato tridimensionale
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
JP2019054153A (ja) * 2017-09-15 2019-04-04 東芝メモリ株式会社 半導体装置の製造方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
CA3088034A1 (en) 2018-01-23 2019-08-01 Lumiense Photonics Inc. Method of manufacturing of advanced three-dimensional semiconductor structures and structures produced therefrom
DE102018103431A1 (de) * 2018-02-15 2019-08-22 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Verbindung zwischen Bauteilen und Bauelement aus Bauteilen
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
JP6952629B2 (ja) * 2018-03-20 2021-10-20 株式会社東芝 半導体装置
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
KR102075764B1 (ko) * 2018-03-28 2020-02-10 한국과학기술원 이종 광 집적회로 및 이의 제조 방법
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN108520858A (zh) * 2018-06-07 2018-09-11 长江存储科技有限责任公司 金属连接结构及其形成方法
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) * 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11211333B2 (en) * 2018-07-16 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via optimization for three-dimensional integrated circuits
US10700094B2 (en) * 2018-08-08 2020-06-30 Xcelsis Corporation Device disaggregation for improved performance
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
KR102661959B1 (ko) 2018-09-20 2024-04-30 삼성전자주식회사 반도체 장치 및 이를 포함하는 반도체 패키지
US11158573B2 (en) * 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR102596758B1 (ko) 2018-10-24 2023-11-03 삼성전자주식회사 반도체 패키지
US11309278B2 (en) 2018-10-29 2022-04-19 Applied Materials, Inc. Methods for bonding substrates
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11646242B2 (en) 2018-11-29 2023-05-09 Qorvo Us, Inc. Thermally enhanced semiconductor package with at least one heat extractor and process for making the same
WO2020107452A1 (en) * 2018-11-30 2020-06-04 Yangtze Memory Technologies Co., Ltd. Bonded memory device and fabrication methods thereof
JP7243015B2 (ja) * 2018-12-04 2023-03-22 日清紡マイクロデバイス株式会社 電子部品および電子部品の接合構造
CN112106176A (zh) * 2018-12-04 2020-12-18 索尼半导体解决方案公司 半导体装置和电子设备
CN113169159A (zh) * 2018-12-06 2021-07-23 伊文萨思公司 用于微电子器件的直接接合的界面中的电容性耦合
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US20200235040A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
US20200235066A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
WO2020153983A1 (en) 2019-01-23 2020-07-30 Qorvo Us, Inc. Rf semiconductor device and manufacturing method thereof
US11387157B2 (en) 2019-01-23 2022-07-12 Qorvo Us, Inc. RF devices with enhanced performance and methods of forming the same
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
WO2020188719A1 (ja) * 2019-03-18 2020-09-24 キオクシア株式会社 半導体装置およびその製造方法
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) * 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11315871B2 (en) * 2019-06-13 2022-04-26 Nanya Technology Corporation Integrated circuit device with bonding structure and method of forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
TWI686518B (zh) 2019-07-19 2020-03-01 國立交通大學 具有奈米雙晶銅之電連接結構及其形成方法
US11393780B2 (en) 2019-07-26 2022-07-19 Sandisk Technologies Llc Bonded assembly containing oxidation barriers, hybrid bonding, or air gap, and methods of forming the same
US11139272B2 (en) * 2019-07-26 2021-10-05 Sandisk Technologies Llc Bonded assembly containing oxidation barriers and/or adhesion enhancers and methods of forming the same
US11515273B2 (en) 2019-07-26 2022-11-29 Sandisk Technologies Llc Bonded assembly containing oxidation barriers, hybrid bonding, or air gap, and methods of forming the same
US11443981B2 (en) * 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
KR20210025156A (ko) 2019-08-26 2021-03-09 삼성전자주식회사 반도체 장치 및 그 제조방법
KR20210024893A (ko) 2019-08-26 2021-03-08 삼성전자주식회사 반도체 소자 제조 방법
WO2021087720A1 (en) 2019-11-05 2021-05-14 Yangtze Memory Technologies Co., Ltd. Semiconductor devices having adjoined via structures formed by bonding and methods for forming the same
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11094653B2 (en) * 2019-11-13 2021-08-17 Sandisk Technologies Llc Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same
GB2589329B (en) * 2019-11-26 2022-02-09 Plessey Semiconductors Ltd Substrate bonding
US11646289B2 (en) 2019-12-02 2023-05-09 Qorvo Us, Inc. RF devices with enhanced performance and methods of forming the same
US11923238B2 (en) 2019-12-12 2024-03-05 Qorvo Us, Inc. Method of forming RF devices with enhanced performance including attaching a wafer to a support carrier by a bonding technique without any polymer adhesive
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11270963B2 (en) * 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same
CN111244123A (zh) * 2020-02-03 2020-06-05 长江存储科技有限责任公司 半导体结构及其制备方法
US20210265253A1 (en) 2020-02-25 2021-08-26 Tokyo Electron Limited Split substrate interposer with integrated passive device
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
JP2021150574A (ja) * 2020-03-23 2021-09-27 キオクシア株式会社 半導体装置
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
CN111463114B (zh) * 2020-04-17 2021-08-06 武汉新芯集成电路制造有限公司 半导体器件及其形成方法、芯片
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11233088B2 (en) * 2020-06-12 2022-01-25 Omnivision Technologies, Inc. Metal routing in image sensor using hybrid bonding
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
WO2022000385A1 (zh) * 2020-07-01 2022-01-06 重庆康佳光电技术研究院有限公司 显示面板的制作方法、显示面板及显示装置
US11430753B2 (en) 2020-07-08 2022-08-30 Raytheon Company Iterative formation of damascene interconnects
KR20220014759A (ko) 2020-07-29 2022-02-07 삼성전자주식회사 본딩 신뢰성을 향상시킬 수 있는 반도체 패키지
KR20220021798A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 반도체 패키지 및 반도체 패키지의 제조 방법
CN111933533B (zh) * 2020-08-17 2021-06-04 长江存储科技有限责任公司 半导体封装结构及其制造方法
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11164822B1 (en) * 2020-09-28 2021-11-02 United Microelectronics Corp. Structure of semiconductor device and method for bonding two substrates
US11837623B2 (en) 2020-10-12 2023-12-05 Raytheon Company Integrated circuit having vertical routing to bond pads
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
EP3993021A1 (en) * 2020-11-03 2022-05-04 Infineon Technologies AG Method of manufacturing a bonded substrate stack
KR20220060620A (ko) 2020-11-04 2022-05-12 삼성전자주식회사 반도체 장치 및 이를 포함하는 전자 시스템
KR20220060612A (ko) * 2020-11-04 2022-05-12 삼성전자주식회사 반도체 장치 및 이를 포함하는 데이터 저장 시스템
US11424215B2 (en) * 2020-11-10 2022-08-23 Sandisk Technologies Llc Bonded assembly formed by hybrid wafer bonding using selectively deposited metal liners
US11710756B2 (en) 2020-11-19 2023-07-25 Raytheon Company Integrating optical elements with electro-optical sensors via direct-bond hybridization
WO2022125722A1 (en) * 2020-12-11 2022-06-16 Qorvo Us, Inc. Microelectronics package with vertically stacked wafer slices and process for making the same
US11527501B1 (en) * 2020-12-15 2022-12-13 Intel Corporation Sacrificial redistribution layer in microelectronic assemblies having direct bonding
WO2022172349A1 (ja) * 2021-02-10 2022-08-18 キヤノンアネルバ株式会社 化学結合法及びパッケージ型電子部品
CN113035729B (zh) * 2021-03-10 2023-04-07 联合微电子中心有限责任公司 混合键合方法及键合用衬底
CN113299601A (zh) * 2021-05-21 2021-08-24 浙江集迈科微电子有限公司 一种多层转接板的晶圆级焊接工艺
CN115513046A (zh) 2021-06-23 2022-12-23 联华电子股份有限公司 半导体元件
CN115565984A (zh) 2021-07-01 2023-01-03 长鑫存储技术有限公司 一种半导体结构及其形成方法
US11817420B2 (en) 2021-07-19 2023-11-14 Micron Technology, Inc. Systems and methods for direct bonding in semiconductor die manufacturing
WO2023162264A1 (ja) * 2022-02-28 2023-08-31 株式会社レゾナック 半導体装置の製造方法、及び半導体装置
WO2023195322A1 (ja) * 2022-04-06 2023-10-12 Hdマイクロシステムズ株式会社 半導体装置の製造方法、ハイブリッドボンディング絶縁膜形成材料及び半導体装置
US20240170452A1 (en) * 2022-11-22 2024-05-23 Applied Materials, Inc. Method for collective dishing of singulated dies

Family Cites Families (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US4904328A (en) 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
US4784970A (en) 1987-11-18 1988-11-15 Grumman Aerospace Corporation Process for making a double wafer moated signal processor
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2729413B2 (ja) 1991-02-14 1998-03-18 三菱電機株式会社 半導体装置
JP2910334B2 (ja) 1991-07-22 1999-06-23 富士電機株式会社 接合方法
JPH05198739A (ja) 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
US5503704A (en) 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
EP0610709B1 (de) 1993-02-11 1998-06-10 Siemens Aktiengesellschaft Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
US5501003A (en) 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5413952A (en) 1994-02-02 1995-05-09 Motorola, Inc. Direct wafer bonded structure method of making
JP3294934B2 (ja) 1994-03-11 2002-06-24 キヤノン株式会社 半導体基板の作製方法及び半導体基板
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JPH08125121A (ja) 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JPH08186235A (ja) 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US5888631A (en) 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Method for minimizing warp in the production of electronic assemblies
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
WO1998028788A1 (en) 1996-12-24 1998-07-02 Nitto Denko Corporation Manufacture of semiconductor device
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JPH10223636A (ja) 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
JP4026882B2 (ja) * 1997-02-24 2007-12-26 三洋電機株式会社 半導体装置
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6147000A (en) * 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6316786B1 (en) 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP2000150810A (ja) 1998-11-17 2000-05-30 Toshiba Microelectronics Corp 半導体装置及びその製造方法
US6515343B1 (en) 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
JP3293792B2 (ja) 1999-01-12 2002-06-17 日本電気株式会社 半導体装置及びその製造方法
JP3918350B2 (ja) 1999-03-05 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
JP2000311982A (ja) 1999-04-26 2000-11-07 Toshiba Corp 半導体装置と半導体モジュールおよびそれらの製造方法
US6258625B1 (en) 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
JP3619395B2 (ja) 1999-07-30 2005-02-09 京セラ株式会社 半導体素子内蔵配線基板およびその製造方法
US6756253B1 (en) 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
US6593645B2 (en) 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
EP1130654A1 (de) 2000-03-01 2001-09-05 Infineon Technologies AG Integriertes Bauelement mit Metall-Isolator-Metall-Kondensator
US6373137B1 (en) * 2000-03-21 2002-04-16 Micron Technology, Inc. Copper interconnect for an integrated circuit and methods for its fabrication
JP4123682B2 (ja) 2000-05-16 2008-07-23 セイコーエプソン株式会社 半導体装置及びその製造方法
US6326698B1 (en) 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP2002009248A (ja) 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd キャパシタおよびその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
CN1222195C (zh) 2000-07-24 2005-10-05 Tdk株式会社 发光元件
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6483044B1 (en) 2000-08-23 2002-11-19 Micron Technology, Inc. Interconnecting substrates for electrical coupling of microelectronic components
US6583460B1 (en) * 2000-08-29 2003-06-24 Micron Technology, Inc. Method of forming a metal to polysilicon contact in oxygen environment
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
US7084507B2 (en) 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP3705159B2 (ja) 2001-06-11 2005-10-12 株式会社デンソー 半導体装置の製造方法
DE10131627B4 (de) 2001-06-29 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichereinrichtung
JP2003023071A (ja) 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
US6847527B2 (en) 2001-08-24 2005-01-25 3M Innovative Properties Company Interconnect module with reduced power distribution impedance
US6555917B1 (en) 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6624003B1 (en) 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6720212B2 (en) 2002-03-14 2004-04-13 Infineon Technologies Ag Method of eliminating back-end rerouting in ball grid array packaging
US6627814B1 (en) 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6713402B2 (en) 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
CN1248304C (zh) 2002-06-13 2006-03-29 松下电器产业株式会社 布线结构的形成方法
TWI229435B (en) 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP2004133384A (ja) 2002-08-14 2004-04-30 Sony Corp レジスト用剥離剤組成物及び半導体装置の製造方法
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7485962B2 (en) 2002-12-10 2009-02-03 Fujitsu Limited Semiconductor device, wiring substrate forming method, and substrate processing apparatus
JP3918935B2 (ja) 2002-12-20 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
KR100598245B1 (ko) 2002-12-30 2006-07-07 동부일렉트로닉스 주식회사 반도체 금속 배선 형성 방법
JP4173374B2 (ja) 2003-01-08 2008-10-29 株式会社ルネサステクノロジ 半導体装置の製造方法
JP3981026B2 (ja) 2003-01-30 2007-09-26 株式会社東芝 多層配線層を有する半導体装置およびその製造方法
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
JP4082236B2 (ja) 2003-02-21 2008-04-30 ソニー株式会社 半導体装置及びその製造方法
JP4001115B2 (ja) 2003-02-28 2007-10-31 セイコーエプソン株式会社 半導体装置及びその製造方法
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
DE10319538B4 (de) 2003-04-30 2008-01-17 Qimonda Ag Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
JP4130158B2 (ja) 2003-06-09 2008-08-06 三洋電機株式会社 半導体装置の製造方法、半導体装置
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040245636A1 (en) 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
TWI229930B (en) 2003-06-09 2005-03-21 Advanced Semiconductor Eng Chip structure
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP2005086089A (ja) 2003-09-10 2005-03-31 Seiko Epson Corp 3次元デバイスの製造方法
JP2005093486A (ja) 2003-09-12 2005-04-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法
DE102004001853B3 (de) 2004-01-13 2005-07-21 Infineon Technologies Ag Verfahren zum Herstellen von Kontaktierungsanschlüssen
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
JP4897201B2 (ja) 2004-05-31 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置
JP4376715B2 (ja) 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
KR100618855B1 (ko) * 2004-08-02 2006-09-01 삼성전자주식회사 금속 콘택 구조체 형성방법 및 이를 이용한 상변화 메모리제조방법
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
KR100580212B1 (ko) 2004-12-20 2006-05-16 삼성전자주식회사 급지장치 및 이를 구비하는 화상형성장치
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7348648B2 (en) 2006-03-13 2008-03-25 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100825648B1 (ko) * 2006-11-29 2008-04-25 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
KR100850212B1 (ko) * 2007-04-20 2008-08-04 삼성전자주식회사 균일한 무전해 도금 두께를 얻을 수 있는 반도체 소자의제조방법
DE102008007001B4 (de) * 2008-01-31 2016-09-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8101517B2 (en) * 2009-09-29 2012-01-24 Infineon Technologies Ag Semiconductor device and method for making same
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
WO2011108436A1 (ja) * 2010-03-01 2011-09-09 国立大学法人大阪大学 半導体装置及び半導体装置用接合材
JP5517800B2 (ja) * 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8778773B2 (en) * 2010-12-16 2014-07-15 Soitec Methods for directly bonding together semiconductor structures, and bonded semiconductor structures formed using such methods
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
JP2012174988A (ja) * 2011-02-23 2012-09-10 Sony Corp 接合電極、接合電極の製造方法、半導体装置、及び、半導体装置の製造方法
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
KR102378636B1 (ko) 2011-05-24 2022-03-25 소니그룹주식회사 반도체 장치
US8896125B2 (en) 2011-07-05 2014-11-25 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
FR2986904A1 (fr) * 2012-02-14 2013-08-16 St Microelectronics Crolles 2 Systeme d'assemblage de puces
US8796853B2 (en) * 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
TWI498975B (zh) * 2012-04-26 2015-09-01 Asian Pacific Microsystems Inc 封裝結構與基材的接合方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8772946B2 (en) * 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9105485B2 (en) 2013-03-08 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods of forming the same
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9064937B2 (en) * 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9159610B2 (en) * 2013-10-23 2015-10-13 Globalfoundires, Inc. Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9865523B2 (en) * 2014-01-17 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Robust through-silicon-via structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9455182B2 (en) 2014-08-22 2016-09-27 International Business Machines Corporation Interconnect structure with capping layer and barrier layer
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
CN107748879A (zh) 2017-11-16 2018-03-02 百度在线网络技术(北京)有限公司 用于获取人脸信息的方法及装置
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어

Also Published As

Publication number Publication date
US20190237419A1 (en) 2019-08-01
JP2018528622A (ja) 2018-09-27
US11830838B2 (en) 2023-11-28
US10262963B2 (en) 2019-04-16
EP3341956A4 (en) 2019-03-06
EP3341956A1 (en) 2018-07-04
KR102408487B1 (ko) 2022-06-13
CN114944376A (zh) 2022-08-26
TW201715620A (zh) 2017-05-01
KR20220083859A (ko) 2022-06-20
US20180226371A1 (en) 2018-08-09
US20170062366A1 (en) 2017-03-02
WO2017035321A1 (en) 2017-03-02
CN108140559B (zh) 2022-05-24
KR20180034671A (ko) 2018-04-04
US20220254746A1 (en) 2022-08-11
US11264345B2 (en) 2022-03-01
TWI702659B (zh) 2020-08-21
CN108140559A (zh) 2018-06-08
US9953941B2 (en) 2018-04-24
KR102659849B1 (ko) 2024-04-22

Similar Documents

Publication Publication Date Title
JP6743149B2 (ja) 導電性バリアのダイレクトハイブリッドボンディング
US20240047344A1 (en) Interconnect structures
US11728313B2 (en) Offset pads over TSV
US11587910B2 (en) Stacked semiconductor structure and method
KR101690841B1 (ko) 재배선을 갖는 적층 집적 회로
US11244916B2 (en) Low temperature bonded structures
TWI524492B (zh) 使用多層介層窗的3d積體電路
KR20210144931A (ko) 기판의 직접 접합의 준비에서 프로브 패드의 표면 손상을 경감시키는 방법
TWI525776B (zh) 最佳化之環型銅直通基板穿孔
TW201717315A (zh) 用於成品率改善的使用銅合金的混合鍵
JP2010219526A (ja) 半導体デバイスおよび半導体デバイスの製造方法
TW201119001A (en) Through-silicon via structure and a process for forming the same
US20130009305A1 (en) Semiconductor device and method of manufacturing the same
US9437578B2 (en) Stacked IC control through the use of homogenous region
US9418933B2 (en) Through-substrate via formation with improved topography control
TWI697969B (zh) 具有混合金屬化之互連
US20240243085A1 (en) Conductive barrier direct hybrid bonding
CN117976618A (zh) 半导体结构及其制备方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190822

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190822

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20190822

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20190925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190930

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200302

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200629

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200729

R150 Certificate of patent or registration of utility model

Ref document number: 6743149

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250