TWI497592B - 成膜裝置及成膜方法 - Google Patents

成膜裝置及成膜方法 Download PDF

Info

Publication number
TWI497592B
TWI497592B TW101110671A TW101110671A TWI497592B TW I497592 B TWI497592 B TW I497592B TW 101110671 A TW101110671 A TW 101110671A TW 101110671 A TW101110671 A TW 101110671A TW I497592 B TWI497592 B TW I497592B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
reaction
reaction gas
etching
Prior art date
Application number
TW101110671A
Other languages
English (en)
Other versions
TW201304003A (zh
Inventor
Hitoshi Kato
Takeshi Kumagai
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201304003A publication Critical patent/TW201304003A/zh
Application granted granted Critical
Publication of TWI497592B publication Critical patent/TWI497592B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

成膜裝置及成膜方法
本發明係關於一種成膜裝置以及成膜方法,係對基板交互供給複數反應氣體,使得反應氣體於基板表面彼此反應,而於基板上形成由反應產物所構成之膜。
伴隨半導體元件之電路圖案的更細微化,關於構成半導體元件之各種膜也被要求進一步的薄膜化以及均勻化。做為因應於如此要求之成膜方法,已知有將第1反應氣體供給於基板來使得第1反應氣體吸附於基板表面,其次將第2反應氣體供給於基板來使得已吸附於基板表面之第1反應氣體和第2反應氣體進行反應,藉此於基板沉積由反應產物所構成之膜,此為所謂的分子層成膜法(也稱為原子層成膜法)(例如專利文獻1)。依據如此之成膜方法,由於反應氣體可(準)自飽和性地吸附於基板表面,而可實現高膜厚控制性、優異均勻性、以及優異埋入特性。
專利文獻1 日本特開2010-56470號公報
專利文獻2 日本特開2003-142484號公報
但是,伴隨電路圖案之細微化,例如隨著槽渠元件分離構造之槽渠、線-間距-圖案之空間的高寬比變大 ,即使採用分子層成膜法有時也難以填埋槽渠、空間。例如,即使打算將具有例如30nm程度寬度之空間以氧化矽膜來埋入,由於反應氣體不易進入狹窄空間之底部,故有於區劃空間之線側壁的上端部附近之膜厚變厚、而底部側之膜厚變薄之傾向。是以,有時埋入空間之氧化矽膜會產生孔洞(void)。此種氧化矽膜若於例如後續蝕刻製程中受到蝕刻,則有時於氧化矽膜上面會形成和孔洞連通之開口。如此一來,蝕刻氣體(或是蝕刻液)會從此種開口進入孔洞而產生污染、或是於其後之金屬化之際金屬會進入孔洞中而產生缺陷。
如此之問題不僅是ALD、即使是化學氣相沉積(CVD)法也會產生。例如,將形成於半導體基板之連接孔以導電性物質膜來埋入而形成導電性連接孔(所謂的插塞)之際,有時會於插塞中形成孔洞。為了抑制此現象,有人提出一種形成導電性連接孔(所謂的插塞)之方法,係於連接孔埋入導電性物質之際,將形成於連接孔上部之導電性物質的外緣突出(overhang)形狀部以回蝕來去除,而使得此製程反覆進行來抑制孔洞(專利文獻2)。
但是,專利文獻2所揭示之發明中,導電性物質膜的成膜與回蝕必須以不同的裝置來進行,而會在裝置間搬送晶圓、於各裝置內之處理條件的安定化上花費時間,而有無法提高生產量之問題。
本發明係鑑於上述情事所得者,提供一種成膜方法以及成膜裝置,能將形成於基板之凹部在降低孔洞 形成的前提下以高生產量來填埋。
依據本發明之第1態樣,係提供一種成膜方法,包含有下述步驟:將形成有含凹部之圖案的基板搬入真空容器內之步驟;吸附步驟,係從第1反應氣體供給部對該基板供給第1反應氣體,使得該第1反應氣體吸附於該基板;形成步驟,係從第2反應氣體供給部對該基板供給會和該第1反應氣體進行反應之第2反應氣體,使得吸附於該基板之該第1反應氣體和該第2反應氣體進行反應,於該基板形成反應產物;改質步驟,係藉由於該真空容器內所設置之可活性化氣體之活性化氣體供給部來將改質氣體加以活性化並供給於該基板,以將該反應產物加以改質;以及蝕刻步驟,係於未形成該反應產物之環境氣氛下,藉由該活性化氣體供給部來將蝕刻氣體活性化並供給於該基板,以蝕刻該反應產物。
依據本發明之第2態樣,係提供一種成膜方法,係包含有下述步驟:將形成有含凹部之圖案的基板搬入真空容器內之步驟;吸附步驟,係從第1反應氣體供給部對該基板供給第1反應氣體,使得該第1反應氣體吸附於該基板;形成步驟,係從第2反應氣體供給部對該基板供給會和該第1反應氣體進行反應之第2反應氣體,使得吸附於該基板之該第1反應氣體和該第2反應氣體進行反應,於該基板形成反應產物;以及改質-蝕刻步驟,係藉由於該真空容器內所設置之可活性化氣 體之活性化氣體供給部來將改質氣體以及蝕刻該反應產物之蝕刻氣體加以活性化並供給於該基板,以進行該反應產物之改質以及蝕刻。
依據本發明之第3態樣,係提供一種成膜裝置,係具備有:旋轉台,係包含有載置基板之基板載置部,以可於真空容器內進行旋轉的方式所設置者;第1反應氣體供給部,係對載置於該基板載置部之該基板供給第1反應氣體,使得該第1反應氣體吸附於該基板;第2反應氣體供給部,係相對於該第1反應氣體供給部在該旋轉台之圓周方向上分離設置,對該基板供給第2反應氣體來使得吸附於該基板之該第1反應氣體與該第2反應氣體進行反應而於該基板形成反應產物;以及活性化氣體供給部,係相對於該第1以及該第2反應氣體供給部在該旋轉台之圓周方向上分離設置,將改質該反應產物之改質氣體與蝕刻該反應產物之蝕刻氣體加以活性化並供給於該基板。
依據本發明之實施形態,可提供一種成膜方法以及成膜裝置,能將形成於基板之凹部在降低孔洞形成的前提下以高生產量來填埋。
以下,參見所附圖式來針對非限定本發明之例示實施形態說明之。於所附全圖式中,針對同一或是對應之構件或是零件係賦予同一或是對應之參見符號而 省略重複說明。此外,圖式並未以顯示構件或零件間相對比為目的,從而,具體的厚度、尺寸係參酌以下非限定性實施形態而由業界人士所決定。
參見圖1至圖3,本發明之實施形態之成膜裝置係具備有:扁平之真空容器1,具有大致圓形之平面形狀;以及,旋轉台2,係設置於此真空容器1內,於真空容器1之中心具有旋轉中心。真空容器1係具有:容器本體12,係具有有底之圓筒形狀;以及頂板11,係對於容器本體12上面經由例如O型環等密封構件13(圖1)而能以氣密裝卸的方式所配置。
旋轉台2係以中心部固定於圓筒形狀之核心部21,此核心部21係固定於在鉛直方向上延伸之旋轉軸22的上端。旋轉軸22係貫通真空容器1之底部14,其下端係裝設於可使得旋轉軸22(圖1)繞鉛直軸旋轉之驅動部23。旋轉軸22以及驅動部23係被收納於上面呈開口之筒狀盒體20內。此盒體20之設置於其上面之凸緣部分係被氣密安裝於真空容器1之底部14下面,維持盒體20之內部環境氣氛與外部環境氣氛之氣密狀態。
於旋轉台2之表面部係如圖2以及圖3所示般沿著旋轉方向(圓周方向)設置有用以載置複數(圖示例為5片)基板之半導體晶圓(以下稱為「晶圓」)W的圓形狀凹部24。此外圖3中為方便說明起見僅於1個凹部24顯示了晶圓W。此凹部24係具有較晶圓W之直徑略大例如4mm之內徑以及和晶圓W厚度大致相等之深度。從而,若將晶圓W載置於凹部24,則晶圓W表面與旋轉 台2表面(未載置晶圓W之區域)會成為相同高度。於凹部24之底面係形成有貫通孔(皆未圖示),而用以自晶圓W背面支撐來使得晶圓W進行升降之例如3支升降銷係貫通此等貫通孔。
如圖2以及圖3所示般,於旋轉台2上方係分別在真空容器1之圓周方向(旋轉台2之旋轉方向)上相互隔著間隔來配置有例如由石英所構成之反應氣體噴嘴31、反應氣體噴嘴32、分離氣體噴嘴41,42、以及活性化氣體注射器220。於圖示例中,從後述之搬送口15繞順時鐘(旋轉台2之旋轉方向)依序排列有活性化氣體注射器220、分離氣體噴嘴41、反應氣體噴嘴31、分離氣體噴嘴42、以及反應氣體噴嘴32,此等活性化氣體注射器220以及噴嘴31、32、41、42係藉由將各噴嘴31、32、41、42之基端部的氣體導入埠31a、32a、41a、42a固定於容器本體12之外周壁,而從真空容器1之外周壁被導入真空容器1內,沿著容器本體12之半徑方向相對於旋轉台12以水平延伸地裝設著。關於活性化氣體注射器220將於後說明。
反應氣體噴嘴31係經由未圖示之配管以及流量調整器等而連接於做為第1反應氣體之含Si(矽)氣體的供給源(未圖示)。反應氣體噴嘴32係經由未圖示之配管以及流量調整器等而連接於做為第2反應氣體之氧化氣體的供給源(未圖示)。分離氣體噴嘴41、42皆經由未圖示之配管以及流量調整閥等而連接於做為分離氣體之氮(N2 )氣體的供給源(未圖示)。
含Si氣體可使用例如有機胺基矽烷氣體,氧化氣體可使用例如O3 (臭氧)氣體或是O2 (氧)氣體或是此等混合氣體。
於反應氣體噴嘴31、32,朝旋轉台2開口之複數氣體釋出孔33係沿著反應氣體噴嘴31、32之長度方向以例如10mm之間隔來配置排列著。反應氣體噴嘴31之下方區域係成為用以將含Si氣體吸附於晶圓W之第1處理區域P1。反應氣體噴嘴32之下方區域係成為使得於第1處理區域P1已被吸附於晶圓W之含Si氣體進行氧化之第2處理區域P2。
參見圖2以及圖3,連同分離氣體噴嘴41、42構成分離區域D、從頂板11背面朝旋轉台2突出之凸狀部4係設置於真空容器1。凸狀部4係具有頂部被切斷為圓弧狀之扇型平面形狀,於本實施形態,內圓弧係連結於突出部5(後述),外圓弧係沿著真空容器1之容器本體12的內周面來配置。
參見圖4(顯示從反應氣體噴嘴31到反應氣體噴嘴32沿著旋轉台2之同心圓之真空容器1截面),於真空容器1內係藉由凸狀部4而存在著為凸狀部4下面之平坦的低天花板面44(第1天花板面)、以及位於此天花板面44之圓周方向兩側而較天花板面44來得高之天花板面45(第2天花板面)。天花板面44係具有頂部被切斷為圓弧狀之扇型平面形狀。此外,如圖示般,凸狀部4在圓周方向中央係形成有以朝半徑方向延伸的方式所形成之溝槽部43,分離氣體噴嘴42係被收容於溝槽部43內 。於另一凸狀部4也同樣形成有溝槽部43,此處則收容有分離氣體噴嘴41。此外,於高天花板面45之下方空間分別設置有反應氣體噴嘴31、32。此等噴嘴31、32係從天花板面45分離而設置於晶圓W附近。此外,為方便說明起見,如圖4所示般,將設置反應氣體噴嘴31之高天花板面45的下方空間稱為空間481,將設置反應氣體噴嘴32之高天花板面45的下方空間稱為空間482。
天花板面44相對於旋轉台2係形成有狹隘的空間,亦即分離空間H。分離空間H可將來自第1區域P1之含Si氣體與來自第2區域P2之氧化氣體加以分離。具體而言,一旦從分離氣體噴嘴42釋出N2 氣體,則N2 氣體會通過分離空間H而朝空間481以及空間482流動。此時,由於N2 氣體流經容積比空間481以及482來得小之分離空間H,故分離空間H之壓力相對於空間481以及482之壓力可被提高。亦即,於空間481與482之間形成壓力障壁。此外,從分離空間H往空間481以及482流出之N2 氣體相對於來自第1區域P1之含Si氣體與來自第2區域P2之氧化氣體係發揮逆向流的作用。從而,含Si氣體與氧化氣體都幾乎不會流入分離空間H。從而,可抑制含Si氣體與氧化氣體在真空容器1內混合而產生反應。
另一方面,於頂板11之下面係如圖2以及圖3所示般設置有將固定旋轉台2之核心部21外周加以包圍之突出部5。此突出部5於本實施形態中係和凸狀部4之旋 轉中心側部位成為連續,其下面係形成為和天花板面44為相同高度。
此外,於圖2以及圖3中,為方便說明起見,係以低於天花板面45且高於分離氣體噴嘴41、42之位置來切斷容器本體12的方式顯示了容器本體12及其內部。
首先參見之圖1係沿著圖3之I-I’線的截面圖,顯示了設有天花板面45之區域。另一方面,圖5係顯示設有天花板面44之區域的截面圖。如圖5所示般,於扇型凸狀部4之周緣部(真空容器1之外緣側部位)係以對向於旋轉台2外端面的方式形成有彎曲成為L字型之彎曲部46。此彎曲部46同於凸狀部4可抑制自分離區域D兩側侵入反應氣體,抑制兩反應氣體之混合。扇型凸狀部4係設置於頂板11,頂板11可自容器本體12卸除,故於彎曲部46之外周面與容器本體12之間保有些微的間隙。彎曲部46內周面與旋轉台2外端面的間隙、以及彎曲部46外周面與容器本體12的間隙係設定為和例如天花板面44相對於旋轉台2表面之高度為同樣的尺寸。
容器本體12之內周壁在分離區域D雖如圖4所示般和彎曲部46之外周面接近而形成為垂直面,但於分離區域D以外之部位則如圖1所示般例如從對向於旋轉台2外端面的部位到底部14係往外方側凹陷。以下,為方便說明起見,將具有矩形截面形狀之此凹陷部分表記為排氣區域。具體而言,將連通於第1處理區域P1之排氣區域表記為第1排氣區域E1,將連通於第2處理區域P2之區域表記為第2排氣區域E2。於此等第1排氣 區域E1以及第2排氣區域E2之底部係如圖1至圖3所示般分別形成有第1排氣口610以及第2排氣口620。第1排氣口610以及第2排氣口620係如圖1所示般分別經由排氣管630而連接於做為真空排氣機構之例如真空泵640。此外圖1中參見符號650為壓力調整機構。
於旋轉台2與真空容器1之底部14之間的空間係如圖1以及圖4所示般設有做為加熱機構之加熱器單元7,其經由旋轉台2而將旋轉台2上之晶圓W加熱至由程序配方所決定之溫度(例如450℃)。於旋轉台2之周緣附近下方側,為了將從旋轉台2之上方空間到排氣區域E1、E2之環境氣氛與加熱器單元7所處環境氣氛加以區劃來抑制氣體侵入旋轉台2之下方區域,係設有環狀之蓋構件71(圖5)。此蓋構件71係具備有:內側構件71a,係以從下方側面對旋轉台2之外緣部以及外緣部的更外周側之方式所設置者;以及外側構件71b,係設置於此內側構件71a與真空容器1之內壁面之間。外側構件71b在分離區域D形成於凸狀部4之外緣部的彎曲部46下方和彎曲部46近接設置,內側構件71a係於旋轉台2之外緣部下方(以及相對於外緣部略為外側部分之下方)圍繞著加熱器單元7全周。
相對於配置著加熱器單元7之空間靠近旋轉中心部位之底部14在接近於旋轉台2下面的中心部附近之核心部21處係成為往上方側突出之突出部12a。此突出部12a與核心部21之間係成為狹窄空間,且貫通底部14之旋轉軸22的貫通孔內周面與旋轉軸22之間隙變狹窄 ,此等狹窄空間係和盒體20連通著。此外於盒體20設置有用以將沖洗氣體之N2 氣體供給於狹窄空間內進行沖洗之沖洗氣體供給管72。此外於真空容器1之底部14,在加熱器單元7下方於圓周方向上以既定角度間隔設有用以沖洗加熱器單元7之配置空間的複數沖洗氣體供給管73(圖5中顯示了一個沖洗氣體供給管73)。此外,於加熱器單元7與旋轉台2之間係設有將從外側構件71b之內周壁(內側構件71a上面)到突出部12a上端部之間沿著圓周方向覆蓋之蓋構件7a,以抑制氣體侵入設有加熱器單元7之區域。蓋構件7a能以例如石英來製作。
此外,於真空容器1之頂板11中心部係連接著分離氣體供給管51,對頂板11與核心部21之間的空間52供給做為分離氣體之N2 氣體。對此空間52所供給之分離氣體係經由突出部5與旋轉台2之狹窄間隙50而沿著旋轉台2之晶圓載置區域側表面往周緣釋出。空間50可藉由分離氣體而被維持在比空間481以及空間482來得高之壓力。從而,藉由空間50可抑制對第1處理區域P1所供給之含Si氣體與對第2處理區域P2所供給之氧化氣體通過中心區域C而混合。亦即,空間50(或是中心區域C)可發揮和分離空間H(或是分離區域D)同樣的功能。
再者,於真空容器1之側壁係如圖2、圖3所示般形成有搬送口15,用以在外部之搬送臂10與旋轉台2之間進行基板之晶圓W的收授。此搬送口15係由未圖示之 閘閥來開閉。此外由於旋轉台2之晶圓載置區域的凹部24係於面對此搬送口15之位置在搬送臂10之間進行晶圓W之收授,故於旋轉台2下方側之對應於收授位置的部位係設有收授用升降銷及其升降機構(皆未圖示),以貫通凹部24而將晶圓W從背面上舉。
其次,參見圖3、圖6以及圖7來針對活性化氣體注射器220說明之。活性化氣體注射器220係對於形成在晶圓W上之膜供給經活性化之改質氣體以及蝕刻氣體,而可在對該膜進行改質之時也進行蝕刻。如圖6以及圖7所示般,於活性化氣體注射器220係設有氣體導入噴嘴34,其發揮將改質氣體以及蝕刻氣體供給於真空容器1內之改質氣體供給部的功能。氣體導入噴嘴34係具有沿著氣體導入噴嘴34之長度方向以既定間隔形成之複數氣體孔341。氣體導入噴嘴34能以例如石英玻璃所製作。此外,相對於氣體導入噴嘴34在旋轉台2之旋轉方向下游側係配置有由相互平行之1對棒狀護套管35a、35b所構成之電漿產生部80,用以將從氣體導入噴嘴34所導入之改質氣體以及蝕刻氣體予以電漿化。護套管35a、35b係以相互成為平行的方式設置,彼此具有相等長度。
氣體導入噴嘴34以及電漿產生部80係在朝向旋轉台2之大致中心的方向上且和旋轉台2之切線方向呈正交之方向上和旋轉台2上面以平行方式延伸著。此外,氣體導入噴嘴34以及電漿產生部80係藉由被裝設在容器本體12外周面之導入管80a而以真空容器1內之氣密 受到維持的方式被支持著。
如圖3所示般,氣體導入噴嘴34係連接著氣體導入管271之一端,而氣體導入管271之另一端係和改質氣體導入管251與蝕刻氣體導入管261連接著。改質氣體導入管251經由開閉閥252以及流量調整器253而和儲存著改質氣體之改質氣體供給源254相連接著。在改質氣體方面可使用例如氧(O2 )氣體,亦可取代O2 氣體或是連同O2 氣體來使用例如氬(Ar)氣體或是氦(He)氣體等稀有氣體。此外,蝕刻氣體導入管261經由開閉閥262以及流量調整器263而連接著儲存有蝕刻氣體之蝕刻氣體供給源264。蝕刻氣體可使用將蝕刻對象膜加以蝕刻之氣體,於本實施形態,可使用將氧化矽膜加以蝕刻之例如三氟甲烷(CHF3 )等氫氟碳、四氟甲烷(CF4 )等全氟碳等氟系氣體。
另一方面,電漿產生部80係如圖7所示般,具有相互平行延伸之護套管35a、35b;***於護套管35a內之電極36a;以及***於護套管35b內之電極36b。護套管35a、35b係由例如石英、氧化鋁、或是氧化釔(Y2 O3 )等絕緣體所製作。電極36a、36b係發揮平行電極的功能,由例如鎳合金、鈦等所製作。如圖3所示般,電極36a、36b經由匹配器225而連接著高頻電源224。例如13.56MHz、例如500W之高頻電力係從高頻電源224被供給至電極36a、36b。此外,護套管35a、35b係如圖7所示般於基端側(真空容器1之內壁側)***於保護管37。保護管37於圖5等中被省略了。此外,圖6以外係簡 略化了護套管35a、35b。
如圖6以及圖7所示般,於容器本體12內部在活性化氣體注射器220係設有將氣體導入噴嘴34以及護套管35a、35b加以被覆之蓋體221。蓋體221係藉由例如石英等絕緣體所製作。此外,蓋體221在延伸於旋轉台2大致半徑方向上之下端緣係裝設有氣流控制板222。氣流控制板222係和蓋體221同樣以例如石英玻璃等絕緣體所製作。此外,蓋體221係例如從頂板11下面懸垂而將氣流控制板22下面與旋轉台2上面之間隔t(圖7)縮限在可抑制氣體通過此間隔t而侵入蓋體221內部的程度。再者,氣流控制板222之寬度u(圖7)係沿著朝向旋轉台2外周之方向來擴展。於旋轉台2之外周側,沿著氣體旋轉方向之流速雖變快,但由於氣流控制板22之寬度u愈往外周變得愈寬,而可抑制氣體流入蓋體221內部。
此外,於本實施形態之成膜裝置,設有用以進行裝置全體動作之控制的由電腦所構成之控制部100,於此控制部100之記憶體內儲存有在控制部100之控制下於成膜裝置實施後述成膜方法之程式。此程式係以實行後述裝置動作的方式組入步驟群,從硬碟、光碟、光磁碟、記憶卡、軟碟等記憶部101安裝於控制部100內。
其次,針對本發明實施形態之成膜方法舉出使用本實施形態之成膜裝置來進行之情況為例說明之。以下,自反應氣體噴嘴32所供給之氧化氣體係使用O3 氣 體,自活性化氣體注射器220所供給之改質氣體係使用O2 氣體與Ar氣體之混合氣體(以下表記為O2 /Ar氣體),蝕刻氣體係使用CHF3 氣體。此外,所使用之晶圓W係形成有圖8A(a)所示之線-空間-圖案,說明空間S以氧化矽填埋之情況。空間S係具有彎曲成為凹狀之側壁,相較於上端以及底部,此等之間的寬度變寬。
(晶圓之搬入步驟)
首先,打開未圖示之閘閥,將晶圓W從外部藉由搬送臂10經過搬送口15而移送到旋轉台2之凹部24內。此移送係當凹部24停止於面對搬送口15之位置時來自真空容器1底部側之未圖示升降銷經由凹部24底面之貫通孔的升降而進行者。如此之晶圓W的移送係使得旋轉台2間歇地旋轉而進行,於旋轉台2的5個凹部24內分別載置晶圓W。
(保護層成膜步驟)
接著關閉閘閥,以真空泵640將真空容器1內調整為完全真空之狀態後,從分離氣體噴嘴41、42將分離氣體之N2 氣體以既定流量釋出,另從分離氣體供給管51以及沖洗氣體供給管72,72也使得N2 氣體以既定流量釋出。伴隨於此,藉由壓力調整機構650將真空容器1內調整為事先設定之處理壓力。其次,一邊使得旋轉台2繞順時鐘以例如20rpm之旋轉速度來旋轉、一邊藉由加熱器單元7來將晶圓W加熱至例如450℃。
之後,從反應氣體噴嘴31、32分別釋出含Si氣體以及O3 氣體。此外,從氣體導入噴嘴34僅釋出O2 /Ar 氣體,對個別之護套管35a,35b間以400W之電力來供給具有13.56MHz之頻率的高頻。藉此,於活性化氣體注射器220之蓋體221的內部空間(電漿產生部80附近)生成氧電漿。
晶圓W藉由旋轉台2之旋轉而通過第1處理區域P1、分離區域D、第2處理區域P2、改質區域150(活性化氣體注射器220之下方區域,參見圖3以及圖6)、分離區域D。於第1處理區域P1係如圖8A(b)所示般,於晶圓W表面以及空間S內之側壁以及底部吸附含Si氣體而形成含Si氣體分子層61,於第2處理區域P2則如圖8A(c)所示般,已吸附於晶圓W上之含Si氣體受O3 氣體所氧化而沉積一或是複數分子層之氧化矽膜62。
氧化矽膜62中受到例如含Si氣體中所含殘留基的影響,有時會含有水分(OH基)、有機物等雜質。但是,一旦晶圓W到達改質區域150,則氧化矽膜62會因氧電漿而被改質。具體而言,藉由使得氧化矽膜62暴露於氧電漿,則例如殘留於氧化矽膜中之有機雜質會受到氧化而釋放至氣相中、或是氧化矽膜內之元素因電漿中高能量粒子之衝撃而再度排列來謀求氧化矽膜之緻密化(高密度化)。如此般晶圓W(旋轉台2)在進行1次旋轉之過程中,會沉積一或是複數分子層之氧化矽膜62而受到改質,於晶圓W形成高品質之氧化矽膜63(圖8A(d))。之後,一旦晶圓W之旋轉反覆進行既定次數,則氧化矽膜63將會達到既定膜厚(例如5nm到100nm)。到目前為止的步驟所得到之氧化矽膜可發揮保護層功 能,以防止晶圓W之表面以及空間S之內壁因後面所供給之蝕刻氣體而被腐蝕。
(第1步驟)
其次,從活性化氣體注射器220之氣體導入噴嘴34同時供給O2 /Ar氣體與CHF3 氣體,使得晶圓W之旋轉進一步持續,而使得參見圖8A(a)~(d)所說明之含Si氣體之吸附、O3 氣體造成含Si氣體之氧化、以及氧化矽膜之改質反覆進行。於此情況,已沉積之氧化矽膜62受到改質,且CHF3 氣體受到供給於電極36a、36b間之高頻而被活性化,故氧化矽膜62、63被蝕刻。亦即,於第1步驟,氧化矽之沉積與蝕刻係以成為沉積速度>蝕刻速度的方式同時進行。此外,此時CHF3 氣體之供給量、真空容器1內之壓力、高頻電力等成膜條件係以氧化矽膜之蝕刻速度成為在空間S之開口附近大而在空間S之底部側小的方式(換言之,氧化矽膜之沉積速度在空間S之開口附近慢而於底部側變快)來設定。如此之條件可由預備實驗等事先決定。依據此種條件,可於空間S之開口不被氧化矽所阻塞的情況下持續氧化矽之沉積。
(第2步驟)
一旦晶圓W旋轉既定次數,則沉積於空間S之側壁以及底部之氧化矽膜64的截面係大致成為圖8B(e)所示般。亦即,於空間S底部側之膜厚係成為較空間S之開口附近以及晶圓W表面的膜厚來得厚,空間S之側壁係略為彎曲為凹狀(凹狀之彎曲程度相較於原先空間S 之側壁的凹狀彎曲程度有被減輕)。
其次,使得旋轉台2之旋轉、晶圓W之加熱、從反應氣體噴嘴32之O3 氣體之供給、從活性化氣體注射器220之氣體導入噴嘴34之O2 /Ar氣體以及CHF3 氣體之供給、以及對活性化氣體注射器220之電極36a、36b之高頻供給等以和第1步驟時候為相同條件來繼續,僅停止從反應氣體噴嘴31之含Si氣體之供給。因此,雖氧化矽之沉積停止,但氧化矽膜64之蝕刻會繼續。氧化矽膜64之蝕刻速度在空間S之開口附近快而於底部側慢,故經過既定時間後,氧化矽膜64會如圖8B(f)所示般,空間S成為具有開口往寬廣底部之寬度變窄之錐面狀之截面形狀。此外,此蝕刻時間可在晶圓W上面以及空間S之開口附近不致露出晶圓W的程度下從例如預備實驗等來決定。
(第3步驟)
其次,再次從反應氣體噴嘴31供給含Si氣體。藉此,和第1步驟同樣地,氧化矽之沉積與蝕刻會同時進行。亦即,晶圓W通過第1處理區域P1之際,如圖8C(g)所示般,於具有錐面狀截面形狀的空間S之內面會吸附含Si氣體而形成含Si氣體分子層61,於通過處理區域P2之際,含Si氣體分子層61會因O3 氣體而被氧化形成氧化矽膜62,於通過處理區域150之際,氧化矽膜62受到改質且被蝕刻,得到經過改質之氧化矽膜63。若持續進行下去,於空間S兩方側壁所形成的氧化矽膜63會相互接近,與其說空間S受到填埋,不如說以錐面角 度變小的方式(換言之氧化矽膜63從空間S底部變厚)而逐漸填埋空間S。然後,一旦經過既定時間,則如圖8D所示般,並不會形成孔洞而可將空間S以氧化矽膜66來填埋。
之後,停止從反應氣體噴嘴31之含Si氣體之供給、從反應氣體噴嘴32之O3 氣體之供給、從活性化氣體注射器220之氣體導入噴嘴34之O2 /Ar氣體以及CHF3 氣體之供給、以及對活性化氣體注射器220之電極36a、36b之高頻供給,將真空容器1內以N2 氣體來沖洗後,以和晶圓搬入步驟的順序為相反的順序將晶圓W從真空容器1搬出。經由以上方式,結束本實施形態之氧化矽膜之成膜方法。
如以上說明般,於本實施形態,反應氣體噴嘴31、反應氣體噴嘴32、以及活性化氣體注射器220設置於一個真空容器1內之成膜裝置中,係藉由旋轉台2之旋轉而使得晶圓W通過從反應氣體噴嘴31被供給含Si氣體之第1處理區域P1、從反應氣體噴嘴32被供給O3 氣體之第2處理區域P2、以及被供給氧電漿與活性化CHF3 氣體之處理區域150。因此,於第1處理區域P1吸附於晶圓W之含Si氣體係於第2處理區域P2被O3 氣體所氧化,而於晶圓W上形成氧化矽膜62。此氧化矽膜62係於處理區域150受氧電漿所改質,且被活性化CHF3 氣體所蝕刻(第1步驟)。此時,氧化矽膜63之沉積速度由於表觀上於空間S之開口附近慢而於底部快,故空間S之開口不易被阻塞。從而,像是於填埋空間S內之前空 間S之開口已被阻塞而於空間S內形成孔洞這種情況,依據本實施形態可減少孔洞之形成。
此外,於本實施形態,第2步驟係停止含Si氣體之供給而進行蝕刻,而可將空間S之截面調整為寬度沿著從底部朝向開口方向而變寬之錐面狀(參見圖8B(f))。之後,一旦進行和第1步驟同樣的第3步驟,則空間S會以沉積於空間S底部之氧化矽膜的膜厚變厚之方式受到填埋。
此外,當欲藉由ALD以氧化矽來填埋空間,則成膜於空間兩方側壁之氧化矽膜表面會相互接近,而有相互接觸來填埋空間之傾向。如此之情況,尤其當氧化矽膜之表面從兩側將要相接的前一刻,由於兩者間之間隙極為狹窄,故副產物難以排氣至外部。是以,副產物會被帶入氧化矽中。於此情況,恐怕於表面相互接觸之界面(接縫)的雜質濃度變高、或是於接縫處不會形成氧原子與矽原子之間的結合部位而生成多數的缺陷。亦即,於如此之接縫附近,氧化矽之膜質可能會惡化。於此情況,例如於後面的蝕刻製程中,蝕刻可能沿著接縫而快速進行從而形成溝槽。
但是,依據本實施形態之成膜方法,由於可抑制沉積於空間S兩側壁之氧化矽膜表面相互接近而形成接縫,而可抑制於接縫面以及其附近之氧化矽性質的惡化。
此外,反應氣體噴嘴31、反應氣體噴嘴32、以及活性化氣體注射器220設置於一個真空容器1內之本發 明之實施形態的成膜裝置中,藉由實施本發明之實施形態之成膜方法,可於一個真空容器1內進行氧化矽膜之沉積、改質、以及蝕刻。從而,相較於沉積與蝕刻係以不同裝置進行之情況,由於無須晶圓W之搬送時間,而具有提高生產量之優點。此外,由於可在來自反應氣體噴嘴31之含Si氣體被吸附於晶圓W表面,而所被吸附之含Si氣體受到氧化氣體氧化後形成氧化矽分子層後立即進行改質,故活性化之改質氣體對於具有分子層等級膜厚之氧化矽膜產生作用,可提高改質效率。
此外,雖參見圖8A(a)~圖8D來針對圖8A(a)所示側壁彎曲為凹狀之空間S進行填埋之情況做了說明,但本實施形態之成膜方法非僅適用於此種空間S。例如,即便是圖9所示般側壁為具有平坦矩形截面形狀之空間S,也可一邊抑制孔洞之形成一邊進行填埋。亦即,首先,從上述晶圓搬入步驟進行到第1步驟而沉積具有既定膜厚(經改質之高品質的)氧化矽膜64(參見圖9(a))。其次,一旦進行第2步驟,則如圖9(b)所示般,形成寬度沿著從底部朝向開口之方向變寬之錐面狀空間。然後,一旦進行第3步驟,可在未形成孔洞的情況下將晶圓W之空間以氧化矽膜66來填埋。
再者,亦可將圖10所示之寬度沿著從底部朝向開口之方向變窄之倒錐面狀空間加以填埋。亦即,首先,從上述晶圓搬入步驟進行到第1步驟來沉積具有既定膜厚(經改質之高品質的)氧化矽膜64(參見圖10(a))。如 圖示般,由於藉由活性化氣體注射器220也進行蝕刻,故空間S之倒錐面狀截面形狀受到緩和。其次,進行了第2步驟後,如圖10(b)所示般,空間S之截面形狀成為大致矩形。如此之矩形的截面形狀係和圖9(a)所示於晶圓W形成之空間S的截面形狀大致同樣。從而,藉由進行圖9(a)~圖9(c)所說明之成膜方法,可如圖10(c)所示般將具有倒錐面狀截面之空間S加以填埋。亦即,於此情況,從上述晶圓搬入步驟進行第1步驟後乃成為進行第2步驟、第3步驟(與第1步驟實質相同)、第2步驟、以及第3步驟。換言之,本實施形態之成膜方法,第2步驟之次數不限定於1次,亦可和第1步驟(或是第3步驟)交互進行複數次。
此處例示各步驟之成膜條件如下。其中,以下之成膜條件並非用來限定本發明。成膜條件可因應於所使用之成膜裝置之形狀與尺寸、成膜之膜材質、所使用之反應氣體、改質氣體、以及蝕刻氣體來適宜決定。
(1)保護層成膜步驟
‧旋轉台2之旋轉速度:1rpm~500rpm(使用300mm直徑之晶圓W的情況)
‧真空容器1內之壓力:133Pa(1Torr)
‧來自反應氣體噴嘴31之含Si氣體之流量:100sccm
‧來自反應氣體噴嘴32之O3 氣體之流量:10000sccm
‧來自活性化氣體注射器220之O2 /Ar氣體之流量:10000sccm(O2 氣體流量:1000sccm,Ar氣體流量:9000sccm)
‧對活性化氣體注射器220供給之高頻電力:500~900W(頻率13.56MHz)
(2)第1步驟以及第3步驟
‧來自活性化氣體注射器220之CHF3 氣體之流量:0.5~3sccm
‧旋轉台2之旋轉速度:1~500rpm
(其他條件係和保護層成膜步驟相同)
(3)第2步驟
‧來自反應氣體噴嘴31之含Si氣體之流量:0sccm
(其他條件係和第1步驟以及第3步驟相同)
以上,雖參見實施形態說明了本發明,惟本發明不限定於所揭示之實施形態,可在所附申請專利範圍內做各種變形或變更。
例如,當以氧化矽所填埋之空間係具有寬度沿著從底部朝向開口之方向變寬之錐面狀截面形狀之情況,即使未進行第2步驟而是僅以和第1步驟之沉積(亦可包含改質,以下同樣)同時之蝕刻亦可進行填埋。此外,如此之空間,亦可於第1步驟以及第3步驟僅進行沉積,而於第2步驟進行蝕刻來做填埋。
此外,電漿產生機構80亦可設置2個以上。再者,雖如前述般使用平行電極(電極36a、36b)來產生電容耦合型電漿,但亦可使用線圈型電極來產生感應耦合型 電漿。
此外,於上述實施形態雖說明了保護層成膜步驟,但當空間S之內面不會因活性化改質氣體或蝕刻氣體而受到腐蝕之情況,保護層成膜步驟可不需要。例如,對於形成在Si基板之空間形成有例如氮化矽膜等保護層之情況,可不進行保護層成膜步驟而從第1步驟開始。
此外,於第2步驟中,如上述般停止含Si氣體之供給來停止氧化矽之沉積。如上所述,由於含Si氣體之供給量相對於O3 氣體(氧化氣體)之供給量、N2 氣體之供給甚少,故即便停止含Si氣體之供給,真空容器1內之壓力變動仍少,幾乎不會發生氣流之紊亂。從而,僅停止含Si氣體之供給,不會對晶圓W面內以及面間之膜厚、膜質均勻性造成不良影響,此為優點所在。但是,當氧化氣體之供給量少之情況,亦可停止氧化氣體之供給。此外,亦可以停止含Si氣體之供給、並從反應氣體噴嘴31供給N2 氣體、稀有氣體等惰性氣體的方式來構成相對於反應氣體噴嘴31之氣體供給系統。
於前述各例,係使得旋轉台2相對於氣體供給系統(噴嘴31~34、41、42(300))進行旋轉,但亦可使得氣體供給系統相對於此旋轉台2進行旋轉。
此外,於前述例中,係針對使用2種類反應氣體來形成反應產物之例做了說明,但使用2種類以上例如3種類或是4種類之反應氣體來形成反應產物之情況也 可適用本發明。
用以形成前述氧化矽膜之含Si氣體,在第1反應氣體方面可使用BTBAS〔雙第四丁基胺基矽烷〕、DCS[二氯矽烷]、HCD[六氯二矽烷]、3DMAS[三二甲基胺基矽烷]、單胺基矽烷等。此外,亦可將TMA[三甲基鋁]、TEMAZ[四乙基甲基胺基鋯]、TEMAH[四乙基甲基胺基鉿]、Sr(THD)2 [鍶雙四甲基庚二酮酸]、Ti(MPD)(THD)[鈦甲基戊二酮酸雙四甲基庚二酮酸]等做為第1反應氣體使用來分別形成氧化鋁膜、氧化鋯膜、氧化鉿膜、氧化鍶膜、氧化鈦膜等。在氧化此等反應氣體之氧化氣體的第2反應氣體方面亦可採用水蒸氣等。此外,當第2反應氣體不使用O3 氣體之程序例如於TiN(氮化鈦)膜等進行TiN膜改質之情況,做為從氣體導入噴嘴34所供給之電漿產生用改質氣體亦可使用NH3 (氨)氣體等含N(氮)氣體。此外,關於改質氣體以及蝕刻氣體可因應成膜之膜材料來適宜選擇。
此外,分離氣體不限於氮(N2 )氣體,亦可使用氬(Ar)氣體等稀有氣體。
此外,本發明不僅可適用於在晶圓所形成之槽渠或線-空間-圖案,亦可適用於例如於晶圓上所形成之金屬線所產生之線-空間-圖案等。
本申請案係基於2011年3月29日於日本特許廳所提出之日本專利申請2011-073193號主張優先權,將其全部內容援用於此。
1‧‧‧真空容器
2‧‧‧旋轉台
4‧‧‧凸狀部
5‧‧‧突出部
7‧‧‧加熱器單元
7a‧‧‧蓋構件
10‧‧‧搬送臂
11‧‧‧頂板
12‧‧‧容器本體
12a‧‧‧突出部
13‧‧‧密封構件
14‧‧‧底部
15‧‧‧搬送口
20‧‧‧盒體
21‧‧‧核心部
22‧‧‧旋轉軸
23‧‧‧驅動部
24‧‧‧凹部
31,32‧‧‧反應氣體噴嘴
31a,32a‧‧‧氣體導入埠
33‧‧‧氣體釋出孔
34‧‧‧氣體導入噴嘴
35a,35b‧‧‧護套管
36a,36b‧‧‧電極
37‧‧‧保護管
41,42‧‧‧分離氣體噴嘴
41a,42a‧‧‧氣體導入埠
43‧‧‧溝槽部
44‧‧‧第1天花板面
45‧‧‧第2天花板面
46‧‧‧彎曲部
50‧‧‧間隙
51‧‧‧分離氣體供給管
52‧‧‧空間
61‧‧‧含Si氣體分子層
62~66‧‧‧氧化矽膜
71‧‧‧蓋構件
71a‧‧‧內側構件
71b‧‧‧外側構件
72‧‧‧沖洗氣體供給管
73‧‧‧沖洗氣體供給管
80‧‧‧電漿產生部
80a‧‧‧導入管
100‧‧‧控制部
101‧‧‧記憶部
150‧‧‧改質區域
220‧‧‧活性化氣體注射器
221‧‧‧蓋體
222‧‧‧氣流控制板
224‧‧‧高頻電源
225‧‧‧匹配器
251‧‧‧改質氣體導入管
252‧‧‧開閉閥
253‧‧‧流量調整器
254‧‧‧改質氣體供給源
261‧‧‧蝕刻氣體導入管
262‧‧‧開閉閥
263‧‧‧流量調整器
264‧‧‧蝕刻氣體供給源
271‧‧‧氣體導入管
341‧‧‧氣體孔
610‧‧‧第1排氣口
620‧‧‧第2排氣口
630‧‧‧排氣管
640‧‧‧真空泵
650‧‧‧壓力調整機構
C‧‧‧中心區域
E,E1,E2‧‧‧排氣區域
P1‧‧‧第1處理區域
P2‧‧‧第2處理區域
S‧‧‧空間
W‧‧‧晶圓
圖1係顯示本發明之實施形態之成膜裝置之示意截面圖。
圖2係顯示圖1之成膜裝置之示意立體圖。
圖3係顯示圖1之成膜裝置之示意俯視圖。
圖4係用以說明圖1之成膜裝置之分離區域的部分截面圖。
圖5係顯示圖1之成膜裝置之其他示意截面圖。
圖6係顯示於圖1之成膜裝置所設之活性化氣體供給部一例之示意立體圖。
圖7係顯示圖6之活性化氣體供給部之立體圖。
圖8A係說明本發明之實施形態之成膜方法之圖。
圖8B係接續圖8A來說明本發明之實施形態之成膜方法之圖。
圖8C係接續圖8B來說明本發明之實施形態之成膜方法之圖。
圖8D係接續圖8C來說明本發明之實施形態之成膜方法之圖。
圖9係說明本發明之其他實施形態之成膜方法之圖。
圖10係說明本發明之又一其他實施形態之成膜方法之圖。
1‧‧‧真空容器
2‧‧‧旋轉台
4‧‧‧凸狀部
5‧‧‧突出部
12‧‧‧容器本體
15‧‧‧搬送口
31,32‧‧‧反應氣體噴嘴
34‧‧‧氣體導入噴嘴
41,42‧‧‧分離氣體噴嘴
43‧‧‧溝槽部
46‧‧‧彎曲部
80‧‧‧電漿產生部
80a‧‧‧導入管
150‧‧‧改質區域
220‧‧‧活性化氣體注射器
221‧‧‧蓋體
222‧‧‧氣流控制板
224‧‧‧高頻電源
225‧‧‧匹配器
251‧‧‧改質氣體導入管
252‧‧‧開閉閥
253‧‧‧流量調整器
254‧‧‧改質氣體供給源
261‧‧‧蝕刻氣體導入管
262‧‧‧開閉閥
263‧‧‧流量調整器
264‧‧‧蝕刻氣體供給源
271‧‧‧氣體導入管
341‧‧‧氣體孔
610‧‧‧第1排氣口
620‧‧‧第2排氣口
630‧‧‧排氣管
640‧‧‧真空泵
650‧‧‧壓力調整機構
C‧‧‧中心區域
E,E1,E2‧‧‧排氣區域
P1‧‧‧第1處理區域
P2‧‧‧第2處理區域
S‧‧‧空間
W‧‧‧晶圓

Claims (7)

  1. 一種成膜方法,係包含有下述步驟:將形成有含凹部之圖案的基板搬入真空容器內之步驟;吸附步驟,係從第1反應氣體供給部對該基板供給第1反應氣體,使得該第1反應氣體吸附於該基板;形成步驟,係從第2反應氣體供給部對該基板供給會和該第1反應氣體進行反應之第2反應氣體,使得吸附於該基板之該第1反應氣體和該第2反應氣體進行反應,於該基板形成反應產物;改質-蝕刻步驟,係藉由於該真空容器內所設置之可活性化氣體之活性化氣體供給部來將改質氣體與蝕刻該反應產物之蝕刻氣體加以活性化並供給於該基板,以進行該反應產物之改質與蝕刻;以及蝕刻步驟,係於未形成該反應產物之環境氣氛下,藉由該活性化氣體供給部來將蝕刻氣體活性化並供給於該基板,以蝕刻該反應產物;該蝕刻步驟係於依序進行了該吸附步驟、該形成步驟、以及該改質-蝕刻步驟之後所進行者。
  2. 如申請專利範圍第1項之成膜方法,其中於該改質-蝕刻步驟之前係進一步包含依序進行該吸附步驟、該形成步驟、以及藉由該活性化氣體供給部來活性化該改質氣體而供給於該基板以對該反應產物進行改質之改質步驟一次或是反覆二次以上之步驟。
  3. 如申請專利範圍第1項之成膜方法,其中於該蝕刻步驟之後係進一步包含依序進行該吸附步驟、該形成步驟、以及該改質-蝕刻步驟一次或是反覆二次以上之步驟。
  4. 如申請專利範圍第1項之成膜方法,其中於該改質-蝕刻步驟,該改質氣體係藉由高頻而被活性化。
  5. 如申請專利範圍第1項之成膜方法,其中於該蝕刻步驟,該蝕刻氣體係藉由高頻而被活性化。
  6. 一種成膜裝置,係具備有:旋轉台,係包含有載置基板之基板載置部,以可於真空容器內進行旋轉的方式所設置者;第1反應氣體供給部,係對載置於該基板載置部之該基板供給第1反應氣體,使得該第1反應氣體吸附於該基板;第2反應氣體供給部,係相對於該第1反應氣體供給部在該旋轉台之圓周方向上分離設置,對該基板供給第2反應氣體來使得吸附於該基板之該第1反應氣體與該第2反應氣體進行反應而於該基板形成反應產物;活性化氣體供給部,係相對於該第1以及該第2反應氣體供給部在該旋轉台之圓周方向上分離設置,將改質該反應產物之改質氣體與蝕刻該反應產物之蝕刻氣體加以活性化並供給於該基板;以及控制部,係於供給有該第1反應氣體、該第2反應氣體、該改質氣體以及該蝕刻氣體之狀態下使得該旋轉台進行旋轉後,以於停止該第1反應氣體之供給的狀 態下來使得該旋轉台進行旋轉的方式來對於該旋轉台、該第1反應氣體供給部、該第2反應氣體供給部以及該活性化氣體供給部進行控制。
  7. 如申請專利範圍第6項之成膜裝置,其中該活性化氣體供給部係包含有電極,該電極被供給有將該改質氣體以及該蝕刻氣體加以活性化之高頻電力。
TW101110671A 2011-03-29 2012-03-28 成膜裝置及成膜方法 TWI497592B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011073193A JP5599350B2 (ja) 2011-03-29 2011-03-29 成膜装置及び成膜方法

Publications (2)

Publication Number Publication Date
TW201304003A TW201304003A (zh) 2013-01-16
TWI497592B true TWI497592B (zh) 2015-08-21

Family

ID=46989140

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101110671A TWI497592B (zh) 2011-03-29 2012-03-28 成膜裝置及成膜方法

Country Status (5)

Country Link
US (1) US8906246B2 (zh)
JP (1) JP5599350B2 (zh)
KR (1) KR101502205B1 (zh)
CN (1) CN102732854B (zh)
TW (1) TWI497592B (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
JP5913079B2 (ja) * 2012-12-21 2016-04-27 東京エレクトロン株式会社 成膜方法
JP6010451B2 (ja) * 2012-12-21 2016-10-19 東京エレクトロン株式会社 成膜方法
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
US9798317B2 (en) 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
US9396983B2 (en) * 2014-06-02 2016-07-19 Epistar Corporation Susceptor
JP6294194B2 (ja) * 2014-09-02 2018-03-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6388552B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6388553B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6412466B2 (ja) * 2015-06-02 2018-10-24 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6494443B2 (ja) * 2015-06-15 2019-04-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6468955B2 (ja) 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
JP6735549B2 (ja) * 2015-11-04 2020-08-05 東京エレクトロン株式会社 基板処理装置、基板処理方法及びリング状部材
JP6587514B2 (ja) * 2015-11-11 2019-10-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6723135B2 (ja) * 2015-12-25 2020-07-15 東京エレクトロン株式会社 保護膜形成方法
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
JP6583081B2 (ja) * 2016-03-22 2019-10-02 東京エレクトロン株式会社 半導体装置の製造方法
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
JP7203515B2 (ja) * 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
JP2019079867A (ja) * 2017-10-20 2019-05-23 漢民科技股▲分▼有限公司 気相成膜装置
JP2019096666A (ja) * 2017-11-20 2019-06-20 東京エレクトロン株式会社 エッチング方法及びこれを用いた窪みパターンの埋め込み方法
US11037780B2 (en) * 2017-12-12 2021-06-15 Asm Ip Holding B.V. Method for manufacturing semiconductor device with helium-containing gas
JP6843087B2 (ja) * 2018-03-12 2021-03-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7278146B2 (ja) 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
JP2022133762A (ja) * 2021-03-02 2022-09-14 東京エレクトロン株式会社 成膜方法、処理装置及び処理システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20100099236A1 (en) * 2008-10-16 2010-04-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
TW201024450A (en) * 2008-09-04 2010-07-01 Tokyo Electron Ltd Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6565759B1 (en) * 1999-08-16 2003-05-20 Vanguard International Semiconductor Corporation Etching process
JP2003078034A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体集積回路装置の製造方法
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
KR100554828B1 (ko) * 2004-04-08 2006-02-22 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
CN1787186A (zh) * 2004-12-09 2006-06-14 富士通株式会社 半导体器件制造方法
JP5023505B2 (ja) * 2006-02-09 2012-09-12 東京エレクトロン株式会社 成膜方法、プラズマ成膜装置及び記憶媒体
KR101060633B1 (ko) * 2006-07-20 2011-08-31 신에쓰 가가꾸 고교 가부시끼가이샤 반도체 디바이스의 제조 방법 및 기판 처리 장치
JP2008078253A (ja) * 2006-09-20 2008-04-03 Sony Corp 半導体装置の製造方法
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR100818714B1 (ko) * 2007-04-10 2008-04-02 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR101275025B1 (ko) * 2007-07-12 2013-06-14 삼성전자주식회사 반도체 소자용 배선 구조물 및 이의 형성방법
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
KR101002493B1 (ko) * 2007-12-28 2010-12-17 주식회사 하이닉스반도체 반도체 메모리 소자의 소자 분리막 형성 방법
JP5190307B2 (ja) * 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2010027648A (ja) 2008-07-15 2010-02-04 Toshiba Corp 半導体装置、半導体製造装置および半導体装置の製造方法
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
JP5195174B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2010087475A (ja) 2008-09-03 2010-04-15 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び製造装置
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
CN101996922B (zh) * 2009-08-13 2013-09-04 上海丽恒光微电子科技有限公司 Soi晶片及其形成方法
JP2012104695A (ja) * 2010-11-11 2012-05-31 Elpida Memory Inc 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
TW201024450A (en) * 2008-09-04 2010-07-01 Tokyo Electron Ltd Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
US20100099236A1 (en) * 2008-10-16 2010-04-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners

Also Published As

Publication number Publication date
US20120267341A1 (en) 2012-10-25
CN102732854A (zh) 2012-10-17
KR20120112116A (ko) 2012-10-11
US8906246B2 (en) 2014-12-09
TW201304003A (zh) 2013-01-16
JP2012209394A (ja) 2012-10-25
KR101502205B1 (ko) 2015-03-12
JP5599350B2 (ja) 2014-10-01
CN102732854B (zh) 2015-09-23

Similar Documents

Publication Publication Date Title
TWI497592B (zh) 成膜裝置及成膜方法
TWI732998B (zh) 成膜方法及成膜裝置
KR102270005B1 (ko) 보호막 형성 방법
TWI526569B (zh) 成膜裝置、成膜方法、以及記憶媒體
JP5287592B2 (ja) 成膜装置
JP5679581B2 (ja) 成膜方法
JP5375852B2 (ja) 成膜装置、成膜方法及び記憶媒体
KR102350840B1 (ko) 실리콘 질화막의 성막 방법 및 성막 장치
KR20110109928A (ko) 성막 장치, 성막 방법 및 기억 매체
CN105938796B (zh) 基板处理装置以及基板处理方法
KR101879022B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP6723135B2 (ja) 保護膜形成方法
TWI721227B (zh) 成膜裝置及成膜方法
KR101989657B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP6918764B2 (ja) 保護膜形成方法
KR102397199B1 (ko) 서셉터의 드라이 클리닝 방법 및 기판 처리 장치
JP5750190B2 (ja) 成膜装置及び成膜方法
JP7090568B2 (ja) 成膜方法
JP2020126898A (ja) 成膜方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees