KR101060633B1 - 반도체 디바이스의 제조 방법 및 기판 처리 장치 - Google Patents

반도체 디바이스의 제조 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR101060633B1
KR101060633B1 KR1020087018387A KR20087018387A KR101060633B1 KR 101060633 B1 KR101060633 B1 KR 101060633B1 KR 1020087018387 A KR1020087018387 A KR 1020087018387A KR 20087018387 A KR20087018387 A KR 20087018387A KR 101060633 B1 KR101060633 B1 KR 101060633B1
Authority
KR
South Korea
Prior art keywords
raw material
processing chamber
oxide film
atom
substrate
Prior art date
Application number
KR1020087018387A
Other languages
English (en)
Other versions
KR20080096521A (ko
Inventor
히로노부 미야
카즈히로 히라하라
요시타카 하마다
아츠히코 스다
Original Assignee
신에쓰 가가꾸 고교 가부시끼가이샤
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 신에쓰 가가꾸 고교 가부시끼가이샤, 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 신에쓰 가가꾸 고교 가부시끼가이샤
Publication of KR20080096521A publication Critical patent/KR20080096521A/ko
Application granted granted Critical
Publication of KR101060633B1 publication Critical patent/KR101060633B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

적어도 1매의 기판을 처리실 내에 반입하는 공정과, 제1 원료인 금속 화합물 또는 규소 화합물, 제2 원료인 산소 원자를 포함하는 산화 원료, 및 제3 원료인 원자상(原子狀) 수소를 상기 처리실 내에 소정 회수를 반복적으로 공급하여 상기 기판 표면에 금속 산화막 또는 규소 산화막을 형성하는 공정과, 상기 기판을 상기 처리실 내로부터 반출하는 공정을 포함하되, 상기 제2 원료와 상기 제3 원료를 상기 처리실 내에 공급함으로써 상기 기판 표면의 반응 사이트를 OH기로 치환하는 것인 반도체 디바이스의 제조 방법이 개시되어 있다.
Figure R1020087018387
산화 원료, 원자상 수소, 금속 산화막, 규소 산화막

Description

반도체 디바이스의 제조 방법 및 기판 처리 장치{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS}
본 발명은 박막의 형성 방법 및 반도체 디바이스의 제조 방법 및 기판 처리 장치에 관하여, 특히, 반도체 집적회로의 제조 방법에 있어서, 반도체 웨이퍼(wafer)(이하 웨이퍼라고 함)에 ALD(Atomic Layer Deposition)법에 의해 산화막을 형성하는 기술에 관한 것이다.
최근, 반도체 DRAM 디바이스의 고밀도화, 다층 배선화에 따라, 저온에서의 성막이 요구되고 또한, 표면의 평탄성, 요부(凹部) 매립성, 스텝 커버리지(step coverage)성이 뛰어나고 또한 유전율(k)이 큰 커패시터(capacitor) 재료가 요망되어 왔다. 종래의 Si3N4(k=7)에 비하여 유전율이 큰 커패시터 재료로서는 HfO2(k=30), ZrO2(k=25) 등의 재료가 사용된다. HfO2의 성막 방법으로서는, 스퍼터(sputter)법, MOCVD(Metal organic CVD)법, ALD(Atomic layer deposition)법 등이 있는데, 저온에서 성막할 수 있고 스텝 커버리지(coverage)〔단차피복성(段差被覆性)〕가 양호한 ALD법이 최근에 주목되어 활발한 개발이 진행되고 있다.
그러나, 이들 재료를 교대로 반응실에 흘려 HfO2 막을 형성하는 경우의 문제 점으로서, 트렌치(trench, 홈) 구조를 갖는 패턴 웨이퍼(pattern wafer)를 사용하면 웨이퍼 중앙부에 있어서 막 두께가 저하하여 단차 피복성이 나빠지거나, 1 배치(batch) 내에 있어서 패턴 웨이퍼의 장전 매수에 따라 HfO2 막의 피복성이 저하(로딩 효과라고 부름)하는 문제가 있었다. 단차 피복성이나 로딩(loading) 효과를 개선하기 위하여 하프늄(hafnium) 원료의 공급량을 증대하거나 공급 시간을 증대하면 단차 피복성이나 로딩 효과는 개선되지만, 성막 시간의 증대를 초래하여 스루풋(throughput)이 악화하거나, 원료 소비량의 증대에 따라 원료에 소요되는 비용이 증대하여 COO(Cost of ownership:1매 당 제조 원가)의 악화를 초래해 왔다.
ALD 성막 방법에서 사용되는 금속재료로서는 적절한 화학 안정성과 높은 반응성을 갖는 것이 바람직하고, 유기 화합물로서는 3급 알콕시드(alkoxide)나 2급 알콕시드{MHn〔OCR1R2R3m-n이나 MHn〔NR4R5m-n :단, M은 알루미늄 원자, 티탄 원자, 지르코늄(zirconium) 원자, 하프늄 원자, 탄탈 원자, 루테늄(ruthenium) 원자, 이리듐(iridium) 원자 또는 규소 원자를 포함하는 금속을 나타내고, m은 상기 금속의 안정가수(安定價數), n은 0~2의 정수(M이 규소 이외의 원자인 경우에는 0을 나타낸다), Rl~R5는 탄소수 1로부터 4의 중간에 에테르(ether) 결합을 포함해도 무방한 알킬기(alkyl group)이다}를 배위자(配位子)로서 갖는 것이 바람직하다.
예를 들면 하프늄 원료로서는 Hf(O-tBu)4{Tetra-ter-butoxyhafnium:Hf〔OC(CH3)34}, Hf(MMP)4{Tetrakis(1-methoxy-2-methyl-propoxy)hafnium:Hf〔 OC(CH3)2CH2OCH)34}, TDEAHf{Tetrakis(diethylamino)hafnium:Hf〔N(C2H5)24}, TEMAH{Tetrakis(ethylmethylamino)hafnium:Hf〔N(CH3)(C2H5)〕4}등 Hf의 유기계 재료나 HfCl4 등의 염화물 재료가 사용된다. 또한, 예를 들면 규소의 경우에는, 상기 배위자 이외에, 2개까지이면, 수소도 바람직한 배위자이다. 또한, 산화재(酸化材)로서는 오존(O3)이나 플라즈마 여기(勵起)된 산소 등이 사용된다.
또한, HfO2 막과 마찬가지로 SiO2 막을 ALD 성막으로 형성하는 경우에도 실리콘 원료로서는, Si(MMP)4{Tetrakis(1-methoxy-2-methyl-propoxy)Silicon:Si〔OC(CH3)2CH2OCH34}나 TDMAS{Tris(ethylmethylamino)silicon:SiH〔N(CH3)23} 등의 Si의 유기계 재료나 SiCl4 등의 염화물 재료가 검토되고 있었는데, HfO2 막과 마찬가지로 트렌치(홈)부에서의 단차 피복성이 나쁘거나, 로딩 효과의 문제가 있었다.
따라서, 본 발명의 주된 목적은, 금속 산화물의 박막 형성에 있어서 상술한 바와 같은 스텝 커버리지(coverage)나 로딩 효과의 문제점을 배제하고, 저온에서 단시간으로 금속 화합물을 웨이퍼(단차) 표면에 흡착시켜, 표면 평탄성, 스텝 커버리지(요부 매립성)가 뛰어나고, 로딩 효과가 없는 금속 산화물의 박막 형성 방법 및 반도체 디바이스의 제조 방법 및 기판 처리 장치를 제공하는 데 있다.
본 발명의 하나의 형태에 따르면,
적어도 1매의 기판을 처리실 내에 반입하는 공정과,
제1 원료인 금속 화합물 또는 규소 화합물, 제2 원료인 산소 원자를 포함하는 산화 원료, 및 제3 원료인 원자상(原子狀) 수소를 상기 처리실 내에 소정 회수를 반복적으로 공급하여 상기 기판 표면에 금속 산화막 또는 규소 산화막을 형성하는 공정과,
상기 기판을 상기 처리실 내로부터 반출하는 공정,
을 포함하되, 상기 제2 원료와 상기 제3 원료를 상기 처리실 내에 공급함으로써 상기 기판 표면의 반응 사이트를 OH기로 치환하는 것인 반도체 디바이스의 제조 방법이 제공된다.
본 발명의 다른 형태에 따르면,
적어도 1매의 기판을 처리하는 처리실과,
제1 원료인 금속 화합물 또는 규소 화합물을 상기 처리실 내에 공급하는 제1 공급계와,
제2 원료인 산소 원자를 포함하는 산화 원료를 상기 처리실 내에 공급하는 제2 공급계와,
제3 원료인 원자상 수소를 상기 처리실 내에 공급하는 제3 공급계와,
상기 처리실 내의 분위기를 배기하는 배기계와,
상기 제1 공급계, 상기 제2 공급계, 제3 공급계를 제어하여, 상기 제1 원료, 상기 제2 원료, 상기 제3 원료를 상기 처리실 내에 소정 회수 반복적으로 공급시키고, 상기 제2 원료와 상기 제3 원료를 상기 처리실 내에 공급함으로써 상기 기판 표면의 반응 사이트를 OH기로 치환하도록 하는 제어부,
를 포함하는 기판 처리 장치가 제공된다.
도 1A는 성막 메커니즘을 설명하기 위한 개략도로서, 특히 HfCl4의 Cl기가 기판 상의 OH기와 반응하는 모양을 모식적으로 나타내는 도면.
도 1B는 성막 메커니즘을 설명하기 위한 개략도로서, 특히 HfClx의 Cl이 OH로 치환되는 모양을 모식적으로 나타내는 도면.
도 2는 성막 메커니즘을 설명하기 위한 개략도.
도 3은 성막 메커니즘을 설명하기 위한 개략도.
도 4는 성막 메커니즘을 설명하기 위한 개략도.
도 5는 산화막의 프로세스 시퀀스(실시예)를 설명하기 위한 개략도.
도 6은 산화막의 프로세스 시퀀스(비교예)를 설명하기 위한 개략도.
도 7은 본 실시예에 따른 기판 처리 장치의 개관도.
도 8은 본 실시예에 따른 기판 처리 장치의 단면도.
도 9는 본 실시예에 따른 기판 처리 장치의 처리로의 구성도.
도 10은 본 실시예에 따른 기판 처리 장치의 처리로의 단면도.
본 발명의 바람직한 실시예를 도면을 참조하여 설명한다.
먼저, HfO2 막 성막시의 기판 상에 대한 원료 흡착에 대하여 검토해 본다.
M. A. Alam과 M. L. Green은 HfC14와 H2O의 반응계에 있어서 HfO2의 성막속도를 아래의 수학식 (1), (2)로 나타냈다. (Journal of Applied PhySics, Vol.94,2003)
dNHfO/dC=KCOVNOH
dNOH/dC=K2(N0-NSiOH)+(αCOV-1)KCOVNOH
여기에서, NHfO는 ALD 반응 후에 단위 면적 당 퇴적한 HfO2 막의 총량, C는 ALD의 사이클수, KCOV는 HfCl4와 반응하는 히드록실기(hydroxy group:-OH)의 수, NOH는 히드록실기의 표면 농도, K2는 새롭게 Si와 결합하는 히드록실기의 속도 정수를 나타내고 있다.
또한, R. L. Puurunen(Journal of Applied PhySics, Vol.95,2004)은 도 1에 나타내는 바와 같이, 최초의 First Half-reaction에서 HfCl4의 Cl기가 기판 상의 OH기와 반응하여 HfClx가 흡착하고, Second Half-reaction에서 HfClx의 Cl이 OH로 치환하는 모델을 제안하고 있다. 이와 같이 흡착 반응은 기판 상에 OH기가 존재하고 있음으로써 진행된다고 생각된다.
그러나, 산화제로서 H2O를 사용한 경우에는 반응실벽에 H2O가 부착하여 용이하게 반응실로부터 배출시킬 수 없다는 문제가 있다. 반응실 내의 온도가 50℃인 경우에는, 진공 배기하는 것만으로는 20%의 OH가 탈리(脫離)할 뿐이며, 온도가 175℃의 경우에는 진공 배기하는 것만으로는 50%의 OH가 탈리하고, 12시간 동안의 진공 배기에 의해서도 15%의 OH가 잔류한다는 보고도 있다. 이와 같이 반응실 내로부 터 H2O를 탈리시키기 어렵다는 특징을 가지고 있다.
본 발명의 바람직한 실시예에서는, H2O를 반응실 내에 과잉으로 공급한 경우에 잔류하는 H2O의 영향을 배제하기 위하여, H2O를 사용하지 않고 원자상 수소(수소 플라즈마)와 O3에 의해 기판 표면을 OH화하도록 한다.
ALD 성막을 수행하는 경우의 기판 표면은 HF 처리에 의해 수소 종단된 불활성인 표면으로 되어 있다. 수소 종단된 표면의 연구는 1980년대 후반부터 1990년대에 걸쳐 활발한 연구가 추진되었다. 1% HF로 에칭(etching)한 Si 표면은 AT&T의 Chabal 등의 XPS(x-ray photoelectron spectroscopy)에 의한 연구에 의하여, 댕글링 본드(dangling bond:미결합수)의 대부분이 수소 종단되어 화학적으로 안정된 표면으로 되어 있는 것이 밝혀져 있다. 그러나, Hf(NMeEt)4나 Si(NMeEt)3와 같은 유기물 원료는 극성이 없는 수소 종단된 기판 표면에는 흡착하기 어렵고, H2O를 흘려서 OH-종단된 기판 표면은 극성을 가져 흡착하기 쉽다.
규소 산화물의 형성 프로세스를 검토하기 위해 이하에서 성막 모델로서 TDMAS{Tris(dimethylamino)silane:SiH〔N(CH3)23}를 예를 들어 설명한다.
도 2는 TDMAS와 H2O를 사용한 경우의 성막 모델을 나타내고 있다. H2O 분자는 해리(解離)하여 1 분자에 2개의 댕글링 본드를 차지하고 있다. 하나는 Si-OH이며, 다른 하나는 Si-H이다. TDMAS 공급 공정에서는 Si-OH 사이트에 TDMAS가 흡착하 여 N(Me)2가 탈리한다. 흡착한 TDMAS 분자의 N(Me)2는 다음의 H2O 공급 공정에서 OH로 치환된다.
한편, TDMAS와 O3를 사용한 경우의 성막 모델을 도 3에 나타낸다. 성막 초기의 기판 표면은 도 2(2)로서, Si 표면은 Si-H 및 Si-OH이다. TDMAS 공급 공정에서는 Si-OH 사이트에 TDMAS가 흡착하여 N(Me)2가 탈리한다. 활성화 산소 원자 O*는 기판 표면에 흡착한 TDMAS 분자인 N(Me)2기를 탈리시킨다. 그와 동시에 N(Me)2기가 떨어져나간 곳은 Si-O-Si 결합이 형성되거나, 댕글링 본드가 남는다. 다음에 TDMAS를 반응실에 공급하면 댕글링 본드 부분에 TDMAS가 흡착하여 N(Me)2기를 탈리시킨다. 이와 같이 TDMAS와 오존의 반응에 있어서는 Si-O-Si 결합이 오존의 공급 시간의 증대와 함께 증대하는 경향을 가져, Si-O-Si 결합이 발생하면, 그 이후의 성장이 저해될 우려도 있다.
그래서 본 발명자들은, H2O를 직접 반응실에 흘리지 않고, 원자상 수소(수소 플라즈마)와 오존을 교대로 반응실에 공급하여 성막하는 방법을 생각했다. 도 4는 TDMAS와 원자상 수소(수소 플라즈마)와 오존을 사용한 경우의 성막 모델을 나타내고 있다. 초기 표면은 TDMAS와 오존의 반응에서 사용한 것과 같이 Si-H 및 Si-OH이다. TDMAS를 흘리면 도 4(2)와 같이 TDMAS가 흡착하여 N(Me)2가 탈리한다. 그 후의 오존 공급 공정에서는 N(Me)2가 탈리하여, 다음에 원자상 수소(수소 플라즈마)를 공급하면 공정(3)과 같이 OH 종단된다. 다음 공정(4)에서 다시 TDMAS가 공급되면 OH 종단부에 TDMAS가 흡착하여 N(Me)2기를 탈리시킨다.
이와 같이 원자상 수소(수소 플라즈마)와 오존을 개별적으로 흘림으로써 종래의 H2O를 사용한 프로세스에 비하여 반응실 내에 H2O가 잔류하지 않고, 또한 오존에 비하여 기판 표면을 OH 종단할 수 있기 때문에 TDMAS가 흡착하기 쉽다는 이점이 있다.
다음에 ALD법에 의해 웨이퍼 표면에 산화막을 형성하는 공정에 대해서 설명한다.
도 5에 본 발명의 바람직한 실시예에 있어서의 처리 시퀀스를 나타냈다.
제1 스텝에서는 먼저 반응실 내에 금속 유기 화합물 원료인 TDMAS를 흘려, Si 기판 표면에 흡착시킨다. 제2 스텝에서는 불활성 가스로 퍼지(purge)를 수행하여 반응실 내의 잔류 Si원료를 반응실 외부로 배출한다. 제3 스텝에서 제2 원료 가스인 오존을 흘려 기판에 흡착한 제1 원료 가스 TDMAS의 디메틸아민(dimethylamine)N(Me)2 기를 탈리시킨다.
제4 스텝에서는 불활성 가스에 의해 반응실 내를 퍼지하고, 제5 스텝에서 원자상 수소(수소 플라즈마)를 반응실 내에 공급한다. 원자상 수소(수소 플라즈마)에 의해 기판 표면은 Si-OH로 치환된다. 제6 스텝에서 불활성 가스에 의해 반응실 내를 퍼지한다. 이들 제1 스텝으로부터 제6 스텝을 소정 회수 반복한다. 그 결과, 기판 표면에 규소 산화막(SiO2)이 형성된다.
한편, 상술한 처리 시퀀스(도 5)에 있어서, 제2 원료인 산화제 공급 후의 퍼지 공정을 생략하는 것도 가능하고, 제2 원료인 산화제와 제3 원료인 원자상 수소(수소 플라즈마)를 바꿔 넣는 것도 가능하다.
또한, 상술한 처리 시퀀스(도 5)에 있어서는, 규소 산화막을 포함하여, Al2O3, TiO2, ZrO2, HfO2, Ta2O5, RuO2, IrO2로 이루어지는 군(群)으로부터 선택되는 하나로 이루어지는 금속 산화막을 형성하는 것도 가능하다.
이 경우, 제1 스텝에서 사용되는 제1 원료는, 바람직하게는 알루미늄 원자, 티탄 원자, 지르코늄 원자, 하프늄 원자, 탄탈 원자, 루테늄 원자, 이리듐 원자 또는 규소 원자를 포함하는 유기 화합물이나, 상기 원자의 염화물로 이루어지는 군으로부터 선택된 하나의 원료이며, 더욱 바람직하게는 MHn〔OCR1R2R3m-n, MHn〔NR4R5m-n이다. 단, M은 알루미늄 등 상기에 기재한 금속을 나타내고, m은 상기 금속의 안정가수, n은 0~2의 정수(M이 규소 이외의 원자인 경우에는 0을 나타낸다), Rl~R5는 수소 또는 탄소수 1부터 4 사이에서 에테르 결합을 포함해도 되는 알킬기(alkyl group)이다.
또한, 제3 스텝에서 사용되는 제2 원료는, 오존(O3) 이외에도, 과산화수소(H2O2), 산소 또는 원자상 산소로부터 선택된 원료이어도 되며, 제5 스텝에서 사용되는 제3 원료는, 수소 플라즈마 이외에도, 탈리 수소를 포함하는 원료이어도 된다. 또한 제2, 제4, 제6 스텝에서 사용되는 불활성 가스는, 바람직하게는 헬륨(He), 네온(Ne), 아르곤(Ar), 질소(N2)를 사용한다.
또한, 주로 제1~제6 스텝에서 구성되는 규소 산화막 또는 금속 산화막의 형성 공정에서는, 가스가 공급되는 반응실 내의 온도를 바람직하게는 20℃ 이상, 700℃ 이하로 하고, 반응실 내의 압력을 바람직하게는 1 Pa 이상, 10,000 Pa 이하로 한다.
여기에서, 도 5의 시퀀스 비교예로서, 도 3의 성막 모델에 따른 ALD법의 SiO2막 프로세스 시퀀스를 도 6에 나타낸다.
하나의 사이클은 4 공정이고, 제1 스텝에서는 먼저 반응실 내에 규소 화합물 원료인 TDMAS를 흘려, Si기판 표면에 그 원료를 흡착시킨다. 제2 스텝에서는 불활성 가스에 의해 퍼지를 수행하여 반응실 내의 잔류 Si원료를 반응실 외부로 배출한다. 제3 스텝에서는 산화재가 흘러, Si기판 표면에 흡착한 Si원료와 O3의 반응에 의하여 SiO2를 형성한다. 제4 스텝에서는 불활성 가스에 의해 반응실 내가 퍼지되고, 반응실 내의 잔류 오존은 반응실 외부로 배출된다. 각각의 스텝 시간은 일례로서 제1 스텝(Si원료 공급 공정)은 1~30초, 제2 스텝(퍼지 공정)은 5~15초, 제3 스텝(O3 공급 공정)은 5~60초, 제4 스텝(퍼지 공정)은 5~15초이다.
다음에, 도 7, 도 8을 사용하여, 반도체 디바이스의 제조 방법에 있어서의 처리 공정을 수행하는 기판 처리 시스템에 적용되는 반도체 제조 장치(이하, 단순히 처리 장치라고 함)에 대하여 설명한다. 도 7은, 본 발명의 바람직한 실시예인 처리 장치의 경사 투시도를 나타내고 있다. 또한, 도 8은 도 7에 나타내는 처리 장치의 측면 투시도이다.
도 7 및 도 8에 나타나 있는 바와 같이, 실리콘 등으로 이루어지는 웨이퍼(기판)(200)를 수납한 웨이퍼 캐리어(wafer carrier)로서의 카세트(110)가 사용되고 있는 본 발명의 처리장치(101)는, 광체(筐體)(111)를 구비하고 있다. 광체(111)의 정면벽(111a)의 하방에는 유지보수할 수 있도록 설치된 개구부로서의 정면 유지보수구(103)가 개설되고, 이 정면 유지보수구(103)를 개폐하는 정면 유지보수문(104)이 세워져 있다. 유지보수문(104)에는, 카세트 반입 반출구(기판 수용기 반입 반출구)(112)가 광체(111) 내외를 연통(連通)하도록 개설되어 있고, 카세트 반입 반출구(112)는 프론트 셔터(기판 수용기 반입 반출구 개폐 기구)(113)에 의해 개폐되도록 되어 있다. 카세트 반입 반출구(112)의 광체(111) 내측에는 카세트 스테이지[기판 수용기 수도대(受渡臺)](114)가 설치되어 있다. 카세트(110)는 카세트 스테이지(114) 상에 공정 내 반송 장치(도시하지 않음)에 의해 반입되고, 또한, 카세트 스테이지(114) 상으로부터 반출되도록 되어 있다.
카세트 스테이지(114)는 공정 내 반송 장치에 의해, 카세트(110) 내의 웨이퍼(200)가 수직 자세로 되고, 카세트(110)의 웨이퍼 출입구가 위쪽 방향을 향하도록 재치(載置)된다. 카세트 스테이지(114)는 카세트(110)를 광체 후방으로 오른쪽 세로 방향으로 90°회전시켜, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(110)의 웨이퍼 출입구가 광체 후방을 향해 동작 가능하도록 구성되어 있다.
광체(111) 내의 전후방향의 중앙부에는, 카세트 선반(기판 수용기 재치 선 반)(105)이 설치되어 있고, 카세트 선반(105)은 복수단 복수열에서 복수개의 카세트(110)를 보관하도록 구성되어 있다. 카세트 선반(105)에는 웨이퍼 이재 기구(125)의 반송 대상이 되는 카세트(110)가 수납되는 이재 선반(123)이 설치되어 있다. 또한, 카세트 스테이지(114)의 상방에는 예비 카세트 선반(107)이 설치되어, 예비적으로 카세트(110)를 보관하도록 구성되어 있다.
카세트 스테이지(114)와 카세트 선반(105)의 사이에는, 카세트 반송장치(기판 수용기 반송 장치)(118)가 설치되어 있다. 카세트 반송 장치(118)는 카세트(110)를 보지(保持)한 상태로 승강할 수 있는 카세트 엘리베이터(기판 수용기 승강 기구)(118a)와 반송 기구로서의 카세트 반송 기구(기판 수용기 반송 기구)(118b)로 구성되어 있고, 카세트 엘리베이터(118a)와 카세트 반송 기구(118b)의 연속 동작에 의해, 카세트 스테이지(114), 카세트 선반(105), 예비 카세트 선반(107) 사이에서, 카세트(110)를 반송하도록 구성되어 있다.
카세트 선반(105)의 후방에는, 웨이퍼 이재 기구(기판 이재 기구) (125)가 설치되어 있고, 웨이퍼 이재 기구(125)는 웨이퍼(200)를 수평 방향으로 회전 내지 직동(直動)시킬 수 있는 웨이퍼 이재 장치(기판 이재 장치)(125a) 및 웨이퍼 이재 장치(125a)를 승강시키기 위한 웨이퍼 이재 장치 엘리베이터(기판 이재 장치 승강 기구)(125b)로 구성되어 있다. 웨이퍼 이재 장치 엘리베이터(125b)는 내압 광체(111)의 우측 단부에 설치되어 있다. 이들, 웨이퍼 이재 장치 엘리베이터(125b) 및 웨이퍼 이재 장치(125a)의 연속 동작에 의해, 웨이퍼 이재 장치(125a)의 트위저(tweezer:기판 보지체)(125c)를 웨이퍼(200)의 재치부로 하여, 보트(기판 보지 구)(217)에 대하여 웨이퍼(200)를 장전(charging) 및 탈장(discharging)하도록 구성되어 있다.
도 9에 나타나 있는 바와 같이, 광체(111)의 후부 상방에는, 처리로(202)가 설치되어 있다. 처리로(202)의 하단부는 노구(爐口) 셔터(노구 개폐 기구)(147)에 의해 개폐되도록 구성되어 있다.
처리로(202)의 하방에는 보트(217)를 처리로(202)에 승강시키는 승강기구로서의 보트 엘리베이터(기판 보지구 승강 기구)(115)가 설치되고, 보트 엘리베이터(115)의 승강대에 연결된 연결구로서의 암(arm)(128)에는 덮개로서의 씰 캡(seal cap)(219)이 수평으로 설치되어 있고, 씰 캡(219)은 보트(217)를 수직으로 지지하여, 처리로(202)의 하단부를 폐색할 수 있도록 구성되어 있다.
보트(217)는 복수 개의 보지 부재(部材)를 구비하고 있으며, 복수 매(예를 들면, 50매~150매 정도)의 웨이퍼(200)를 그 중심을 일치시켜 수직 방향으로 정렬시킨 상태에서, 각각 수평으로 보지하도록 구성되어 있다.
도 8에 나타나 있는 바와 같이, 카세트 선반(105)의 상방에는, 청정 분위기인 클린 에어(clean air)를 공급하도록 공급 팬(fan) 및 방진 필터로 구성된 클린 유닛(134a)이 설치되어 있어 클린 에어를 상기 광체(111)의 내부에 유통시킬 수 있도록 구성되어 있다.
또한, 웨이퍼 이재 장치 엘리베이터(125b) 및 보트 엘리베이터(115) 측과 반대측인 광체(111)의 좌측 단부에는, 클린 에어를 공급하도록 공급 팬 및 방진 필터로 구성된 클린 유닛(도시하지 않음)이 설치되어 있고, 도시하지 않은 상기 클린 유닛으로부터 뿜어낸 클린 에어는, 웨이퍼 이재 장치(125a), 보트(217)를 유통한 후에, 도시하지 않은 배기 장치에 흡입되어, 광체(111) 외부로 배기되도록 되어 있다.
다음에, 상술한 처리 장치의 동작에 대해서 설명한다.
도 7 및 도 8에 나타나 있는 바와 같이, 카세트(110)가 카세트 스테이지(114)에 공급되기에 앞서, 카세트 반입 반출구(112)가 프론트 셔터(113)에 의해 개방된다. 그 후, 카세트(110)는 카세트 반입 반출구(112)로부터 반입되고, 카세트 스테이지(114) 위에 웨이퍼(200)가 수직 자세로서, 카세트(110)의 웨이퍼 출입구가 위를 향하도록 재치된다. 그 후, 카세트(110)는 카세트 스테이지(114)에 의해, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(110)의 웨이퍼 출입구가 광체 후방을 향하도록, 광체 후방에서 오른쪽 세로 방향으로 90°회전된다.
다음에, 카세트(110)는 카세트 선반(105) 내지 예비 카세트 선반(107)의 지정된 선반 위치로 카세트 반송 장치(118)에 의해 자동적으로 반송되고 수도(受渡)되어, 일시적으로 보관된 후, 카세트 선반(105) 내지 예비 카세트 선반(107)으로부터 카세트 반송 장치(118)에 의해 이재 선반(123)에 이재되거나 또는 직접 이재 선반(123)에 반송된다.
카세트(110)가 이재 선반(123)에 이재되면, 웨이퍼(200)는 카세트(110)로부터 웨이퍼 이재 장치(125a)의 트위저(125c)에 의해 웨이퍼 출입구를 통하여 픽업(pickup)되고, 이재실(124) 후방에 있는 보트(217)에 장전(charging)된다. 보트(217)에 웨이퍼(200)를 수도한 웨이퍼 이재 장치(125a)는 카세트(110)로 되돌아 가고, 다음의 웨이퍼(110)를 보트(217)에 장전한다.
미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 노구 셔터(147)에 의해 닫혀져 있던 처리로(202)의 하단부가, 노구 셔터(147)에 의해 개방된다. 이어서, 웨이퍼(200) 군(群)을 보지한 보트(217)는 씰 캡(219)이 보트 엘리베이터(115)에 의해 상승됨으로써, 처리로(202) 내에 반입(loading)되어 간다. 로딩 후에는, 처리로(202)에서 웨이퍼(200)에 임의의 처리가 수행된다. 처리 후에는, 상술한 것과 반대의 순서로, 웨이퍼(200) 및 카세트(110)는 광체(111)의 외부로 반출된다.
다음에, 도 9, 10을 이용하여, 상술한 기판 처리 장치에 적용되는 처리로(202)에 대하여 설명한다.
도 9는 본 실시 형태에서 적합하게 사용되는 종형 기판 처리로의 개략 구성도로서, 처리로(202) 부분을 종단면으로 나타내고, 도 10은 처리로(202) 부분을 A-A선 단면도로 나타낸다.
가열 장치(가열 수단)인 히터(207) 내측에, 기판인 웨이퍼(200)를 처리하는 반응 용기로서의 반응관(203)이 설치되고, 이 반응관(203)의 하단에는, 예를 들면 스테인리스 등에 의한 매니폴드(manifold)(209)가 기밀(氣密) 부재인 O링(220)을 개재하고, 하단 개구는 덮개인 씰 캡(219)에 의해 기밀하게 폐색되고, 적어도, 반응관(203), 매니폴드(209) 및 씰 캡(219)에 의해 처리실(201)을 형성하고 있다. 씰 캡(219)에는 보트 지지대(218)를 개재하여 기판 보지 부재(기판 보지 수단)인 보트(217)가 입설(立設)되고, 보트 지지대(218)는 보트를 보지하는 보지체로 되어 있다. 그리고, 보트(217)는 처리실(201)에 삽입된다. 보트(217)에는 배치(batch) 처 리되는 복수의 웨이퍼(200)가 수평 자세로 관축(管軸)방향으로 다단으로 적재된다. 히터(207)는 처리실(201)에 삽입된 웨이퍼(200)를 소정의 온도로 가열한다.
처리실(201)에는 복수의 종류, 여기에서는 4 종류의 처리 가스를 공급하는 공급 경로로서의 3 개의 가스 공급 경로(제1 가스 공급 경로, 제2 가스 공급 경로, 제3 가스 공급 경로)가 설치되어 있다. 제1 가스 공급 경로에는 상류 방향으로부터 순차적으로 TDMAS를 공급하기 위한 원료 공급 유닛(액체 원료 탱크, 액체 유량 제어장치, 액체 원료 기화기를 포함함)(15), 개폐변인 제1 밸브(31)를 개재하여, 캐리어 가스(carrier gas)를 공급하는 제1 캐리어 가스 공급관(51)이 합류되어 있다. 제1 가스 공급 경로인 TDMAS 공급관은 히터(22)로 덮여 가열할 수 있도록 되어 있다. 이 캐리어 가스 공급관(51)에는 상류 방향으로부터 순차적으로 유량 제어장치(유량 제어 수단)인 매스 플로 컨트롤러(mass flow controller)(41) 및 개폐밸브인 밸브(32)가 설치되어 있다. 또한, 제1 가스 공급 경로의 선단부에는, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원호 형상의 공간에, 반응관(203)의 하부로부터 상부 내벽에 웨이퍼(200)의 적재 방향을 따라서, 제1 노즐(11)이 설치되고, 제1 노즐(11)의 측면에는 가스를 공급하는 공급공인 제1 가스 공급공이 설치되어 웨이퍼에 원료를 공급할 수 있는 구조로 되어 있다. 이 제1 가스 공급공은, 하부로부터 상부에 걸쳐 각각 동일한 개구 면적을 가지며, 또한 동일한 개구 피치(pitch)로 설치되어 있다.
제2 가스 공급 경로에는 상류 방향으로부터 순차적으로 오존을 공급하기 위한 원료 공급 유닛(16)과, 이 공급 유닛에 오존을 발생시키기 위한 원료인 산소 공 급관(53) 및 퍼지 가스로서 질소 공급관(54)이 접속되어 있다. 원료 유량을 제어하기 위한 매스 플로 컨트롤러(43)와 개폐변인 밸브(34)를 개재하여, 캐리어 가스를 공급하는 캐리어 가스 공급관(52)이 합류되어 있다. 이 캐리어 가스 공급관(52)에는 상류 방향으로부터 순차적으로 유량 제어 장치(유량 제어 수단)인 매스 플로우 컨트롤러(42) 및 개폐변인 밸브(33)가 설치되어 있다. 또한, 제2 가스 공급 경로의 선단부에는, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원호 형상의 공간에, 반응관(203)의 하부로부터 상부 내벽에 웨이퍼(200)의 적재 방향을 따라, 제2 노즐(12)이 설치되고, 제2 노즐(12)의 측면에는 가스를 공급하는 공급공인 제2 가스 공급공이 설치되어 있다.
제3 가스 공급 경로에는 상류 방향으로부터 순차적으로 수소를 공급하기 위한 원료 공급관(55)과, 이 원료의 유량 제어 장치(유량 제어 수단)인 매스 플로우 컨트롤러(44) 및 개폐변인 밸브(35)가 설치되어 있다. 퍼지 가스로서 질소 공급관(56)이 퍼지 가스 유량을 제어하기 위한 매스 플로 컨트롤러(45)와 개폐변인 밸브(36)를 개재하여, 캐리어 가스를 공급하는 캐리어 가스 공급관(56)이 합류되어 있다. 또한, 제3 가스 공급 경로의 선단부에는, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원호 형상의 공간에, 반응관(203)의 하부로부터 상부 내벽에 웨이퍼(200)의 적재 방향을 따라, 플라즈마를 발생하기 위한 전극(330, 331)과 함께 버퍼실(321) 내에 설치되어 있다. 제3 노즐(13)의 측면에는 가스를 공급하는 공급공이 설치되고 버퍼실 내에 원료인 수소를 공급한다. 전극(330, 331)은 고주파 전원(333)과 동조 유닛(332)과 연결되어 있어 버퍼실 내에서 플라즈마를 발생시킨다. 버퍼실 내에서는 전극(330, 331)은 전극으로부터의 오염을 방지하기 위하여 보호관(318, 319)으로 덮여 있다. 버퍼실(321) 내에서 발생한 원자상 수소는(수소 플라즈마) 버퍼실가스 배출공(322)을 통하여 처리실(201) 내에 공급된다.
처리실(201)은 가스를 배기하는 배기관인 가스 배기관(231)에 의해 밸브(243)를 개재하여 배기 장치(배기 수단)인 진공 펌프(19)에 접속되고, 진공 배기되도록 되어 있다. 한편, 이 밸브(243)는 밸브를 개폐하여 처리실(201)의 진공 배기 및 진공 배기 정지를 할 수 있고, 또한 밸브의 개방 정도를 조절하여 압력 조정을 할 수 있도록 되어 있는 개폐밸브이다. 가스 배기관(231)은 히터(21)에 의해 덮여 가열 가능하도록 되어 있다.
반응관(203) 내의 중앙부에는, 복수 매의 웨이퍼(200)를 다단으로 동일 간격으로 재치하는 보트(217)가 설치되어 있고, 이 보트(217)는 도시하지 않은 보트 엘리베이터 기구에 의하여 반응관(203)에 출입할 수 있도록 되어 있다. 또한, 처리의 균일성을 향상하기 위하여 보트(217)를 회전하기 위한 보트 회전 기구(267)가 설치되어 있으며, 보트 회전 기구(267)를 구동함으로써, 보트 지지대(218)에 지지된 보트(217)를 회전하도록 되어 있다.
기판 처리 장치에는 제어부(제어 수단)의 한 예로서 컨트롤러(300)가 구비되어 있다. 컨트롤러(300)는 원료 공급 유닛(15, 16), 히터(21, 22), 매스 플로우 컨트롤러(41, 42, 43, 44, 45), 밸브(31, 32, 33, 34, 35, 36, 243), 히터(207), 진공 펌프(19), 보트 회전 기구(267), 보트 엘리베이터(115), 고주파 전원(333), 동 조 유닛(332) 등과 접속되어 있다. 컨트롤러(300)에 의해, 원료 공급 유닛(15, 16)의 동작, 히터(21, 22)의 온도 조정, 매스 플로우 컨트롤러(41, 42, 43, 44, 45)의 유량 조정, 밸브(31, 32, 33, 34, 35, 36, 243)의 개폐 동작, 압력 조정 동작, 히터(207)의 온도 조정, 진공 펌프(19)의 기동 및 정지, 보트 회전 기구(267)의 회전 속도 조절, 보트 엘리베이터(115)의 승강 동작, 고주파 전원(333)의 동작, 동조 유닛(332)의 동작 등이 제어된다.
다음에, 상술한 처리로(202)를 사용한 성막 처리예에 대하여 설명한다.
한편, 본 실시 형태의 처리로(202)에서는, SiO2와 같은 규소 산화막이나 HfO2와 같은 금속 산화막이 성막된다. 그 재료로서 SiO2용으로서는 TDMAS[Tris(dimethylamino)silane, SiH(NMe2)3], HfO2용으로서는, TEMAH[Tetrakis(methyethylamino)hafnium, Hf(NEtMe)4] TDEAH[Tetrakis(diethylamino)hafnium, Hf(NEt2)4], 등의 아미노계 원료 등이 사용된다. 한편, Me는 메틸기(CH3), Et는 에틸기(C2H5)를 각각 나타내고 있다. 또한, 금속 화합물로서 알루미늄 원자, 티탄 원자, 지르코늄 원자, 하프늄 원자, 탄탈 원자, 루테늄 원자, 이리듐 원자를 포함하는 유기 화합물이나, 상기 원자의 염화물로부터 선택된 원료도 사용할 수 있다.
이하에서는, ALD법을 사용한 성막 처리예에 있어서, 반도체 디바이스 제조 공정의 하나인, TDMAS와 오존과 원자상 수소를 사용하여 SiO2막을 성막하는 예를 토대로 설명한다.
ALD(Atomic Layer Deposition)법은, 일정 성막 조건(온도, 시간 등) 하에서, 성막에 사용하는 복수 종류의 원료가 되는 반응성 가스를 1 종류씩 교대로 기판 상에 공급하고, 1 원자 단위로 기판 상에 흡착시켜, 표면 반응을 이용하여 성막을 수행하는 방법이다. 이 때, 막 두께의 제어는, 반응성 가스를 공급하는 사이클 수로 제어한다(예를 들면, 성막 속도가 1Å/사이클이라고 하면, 20Å의 막을 형성하는 경우, 20사이클 수행한다).
ALD법에서는, 예를 들면 SiO2막을 형성하는 경우, 대략 200~600℃의 저온에서 고품질의 성막이 가능하다.
먼저, 상술한 바와 같이 웨이퍼(200)를 보트(217)에 장전하고, 처리실(201)에 반입한다. 보트(217)를 처리실(201)에 반입한 후, 후술하는 스텝을 순차적으로 실행한다.
<스텝 1>
밸브(243)를 ‘open’으로 하고 펌프(19)에 의해 처리실 내를 진공 배기한 후, 밸브(31)를 ‘open’으로 하여 제1 가스를 처리실 내에 공급한다. 제1 가스인 TDMAS는 액체 재료이기 때문에 액체 원료 공급 유닛(15)에서 기화되고 유량 제어된다. 일정 시간 밸브(31)를 ‘open’으로 하여 원료를 처리실 내에 공급하여 제1 원료를 기판 상에 흡착시킨다. 이 때 처리실(201) 내의 압력은 1~10,000 Pa로 하며, 바람직하게는 26-266 Pa의 범위로서, 예를 들면 66 Pa로 유지한다. 이 후, 밸 브(31)를 ‘close’로 한다.
<스텝 2>
그 후, 처리실 내의 제1 원료를 배출(purge)하기 위하여 캐리어 가스가 캐리어 가스 공급관(51)으로부터 흐르고, 매스 플로우 컨트롤러(41)에 의해 유량 조정된다. 이 때 처리실(201) 내의 압력은 1~10,000 Pa로 하고, 바람직하게는 26~266 Pa의 범위로서, 예를 들면 66 Pa로 유지한다.
<스텝 3>
밸브(34)를 ‘open’으로 하여 제2 가스를 처리실 내에 공급한다. 제2 가스인 오존은 오존 공급 유닛(16)에서 유량 제어된다. 일정 시간 밸브(34)를 ‘open’으로 하여 원료를 처리실 내에 공급한다. 이 때 처리실(201) 내의 압력은 1~10,000 Pa로 하고, 바람직하게는 26~266 Pa의 범위로서, 예를 들면 66 Pa로 유지한다. 그 후, 밸브(34)를 ‘close’로 한다.
<스텝 4>
그 후, 처리실 내의 제2 원료를 배출(purge)하기 위하여 캐리어 가스를 캐리어 가스 공급관(52)으로부터 흘리고, 매스 플로우 컨트롤러(42)에 의해 유량 조정한다. 이 때 처리실(201) 내의 압력은 1~10,000 Pa로 하고, 바람직하게는 26~266 Pa의 범위로서, 예를 들면 66 Pa로 유지한다.
<스텝 5>
밸브(35)를 ‘open’으로 하여 제3 가스를 반응실 내에 공급한다. 제3 가스인 수소는, 버퍼실 내에 설치된 전극에 의해 원자상 수소(수소 플라즈마)로 발생된다. 이 때 전극에 인가되는 고주파로서는 일반적으로 13.56 MHz의 주파수가 사용된다. 수소 유량은 매스 플로우 컨트롤러(44)로 유량 제어된다. 일정시간 밸브(35)를 ‘open’으로 하여 원료를 반응실 내에 공급하여 제3 원료를 공급한다. 이 때 처리실(201) 내의 압력은 1~10,000 Pa로 하고, 바람직하게는 26~266 Pa의 범위로서, 예를 들면 66 Pa로 유지한다. 이 후, 밸브(35)를 ‘close’로 한다.
<스텝 6>
그 후, 반응실 내의 제3 원료를 배출(purge)하기 위하여 캐리어 가스가 캐리어 가스 공급관(56)으로부터 흐르고, 매스 플로우 컨트롤러(45)에 의하여 유량 조정된다. 이 때 처리실(201) 내의 압력은 1~10,000 Pa로 하고, 바람직하게는 26~266 Pa의 범위로서, 예를 들면 66 Pa로 유지한다.
스텝 1로부터 스텝 6을 원하는 막 두께로 성막될 때까지 반복한 후, 처리실(201) 내를 진공으로 배기하여 원료 가스를 배출하고, 그 후, 퍼지 가스에 의해 대기압으로 되돌린다. 스텝 2, 4, 6이나 원하는 두께의 막을 형성한 후에는 불활성 가스를, 바람직하게는 헬륨(He), 네온(Ne), 아르곤(Ar) 또는 질소(N2)를 사용한다. 처리실(201) 내를 대기압으로 되돌리면, 보트(217)를 처리실(201)로부터 반출한다.
이상과 같이, 복수의 처리 기판을 다단으로 배치하여 반응실 속에 재치하고, 제1 원료(금속 화합물 원료)를 공급한 후에 제2 원료(산화 원료)를 공급하고, 그 다음에 제3 원료(원자상 수소)를 교대로 공급하는 ALD(Atomic Layer Deposition)법에 의해, 산화막을 성막함으로써, 금속 화합물 원료의 흡착을 촉진하여 표면 평탄성이 좋고, 스텝 커버리지가 양호한 금속 산화막을 얻을 수 있다.
한편, 상기 성막 처리에서는, 스텝 4의 퍼지 공정을 생략하는 것도 가능하며, 스텝 3과 스텝 5를 바꾸는 것도 가능하다.
또한, 여기에서는, SiO2막을 형성하는 경우를 예시했는데, SiO2막을 포함하여, Al2O3, TiO2, ZrO2, HfO2, Ta2O5, RuO2, IrO2로 이루어지는 군으로부터 선택되는 하나로 이루어진 금속 산화막도 형성할 수 있다.
이 경우, 스텝 1에서 처리실(201)에 공급되는 원료는, 바람직하게는 알루미늄 원자, 티탄 원자, 지르코늄 원자, 하프늄 원자, 탄탈 원자, 루테늄 원자, 이리듐 원자 또는 규소 원자를 포함하는 유기 화합물이나, 상기 원자의 염화물로 이루어지는 군으로부터 선택된 하나의 원료로서, 더욱 바람직하게는 MHn〔OCR1R2R3m-n, MHn〔NR4R5m-n이다. 단, M은 알루미늄 등의 상기에 기재한 금속을 나타내며, m은 상기 금속의 안정가수, n은 0~2의 정수(M이 규소 이외의 원자인 경우에는 0을 나타냄), R1~R5는 수소 또는 탄소수 1부터 4 사이에서 에테르 결합을 포함해도 되는 알킬기이다.
또한, 스텝 3에서 처리실(201)에 공급되는 원료는, 오존(O3) 이외에도, 과산화 수소(H2O2), 산소 또는 원자상 산소로부터 선택된 원료이어도 되며, 스텝 5에서 처리실(201)에 공급되는 원료는 수소 플라즈마 이외에도 탈리 수소를 포함하는 원료이어도 무방하다.
또한, 주로 스텝 1~6으로 구성되는 규소 산화막 또는 금속 산화막의 형성 공정에서는, 가스가 공급되는 처리실(201) 내의 온도를 바람직하게는 20℃ 이상, 700℃ 이하로 하고, 처리실(201) 내의 압력을 바람직하게는 1 Pa 이상, 10,000 Pa 이하로 한다.
이상, 본 발명의 바람직한 실시예를 설명했는데, 본 발명의 바람직한 실시 형태에 따르면,
적어도 1매의 기판을 처리실 내에 반입하는 공정과,
제1 원료인 금속 화합물 또는 규소 화합물과, 제2 원료인 산소 원자를 포함하는 산화 원료와, 제3 원료인 원자상 수소를, 상기 처리실 내에 소정 회수를 반복적으로 공급하여 상기 기판 표면에 금속 산화막 또는 규소 산화막을 형성하는 공정과,
상기 기판을 상기 처리실 내로부터 반출하는 공정,
을 포함하되,상기 제2 원료와 상기 제3 원료를 상기 처리실 내에 공급함으로써 상기 기판 표면의 반응 사이트를 OH기로 치환하는 것인 반도체 디바이스의 제조 방법이 제공된다.
바람직하게는,
상기 제2 원료와 상기 제3 원료를 상기 처리실 내에 공급함으로써 상기 기판 표면의 반응 사이트를 OH기로 치환한다.
바람직하게는,
상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
상기 제1 원료, 제2 원료, 제3 원료를 상기 처리실 내에 공급할 때마다, 각 각 상기 처리실 내를 불활성 가스에 의해 퍼지한다.
바람직하게는,
상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
상기 제1 원료와 상기 제3 원료를 상기 처리실 내에 공급할 때마다, 각각 상기 처리실 내를 퍼지 가스에 의해 퍼지하고,
상기 제2 원료를 상기 처리실 내에 공급한 후에는, 상기 처리실 내를 퍼지 가스에 의해 퍼지하지 않는다.
바람직하게는,
상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
상기 제1~제3 원료를, 상기 제1 원료, 상기 제2 원료, 상기 제3 원료의 순서로, 상기 처리실 내에 공급한다.
바람직하게는,
상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
상기 제1~제3 원료를, 상기 제1 원료, 상기 제3 원료, 상기 제2 원료의 순서로, 상기 처리실 내에 공급한다.
바람직하게는,
상기 금속 산화막 또는 규소 산화막은, Al2O3, TiO2, ZrO2, HfO2, Ta2O5, RuO2, 1rO2, SiO2로 이루어지는 군으로부터 선택되는 하나로 이루어지는 막이다.
바람직하게는,
상기 제1 원료는 금속 화합물 또는 규소 화합물로서 알루미늄 원자, 티탄 원자, 지르코늄 원자, 하프늄 원자, 탄탈 원자, 루테늄 원자, 이리듐 원자 또는 규소 원자를 포함하는 유기 화합물이나, 상기 원자의 염화물로 이루어지는 군으로부터 선택된 하나의 원료이다.
더욱 바람직하게는,
상기 제1 원료가, MHn〔OCR1R2R3m-n, MHn〔NR4R5m-n이다.
단, M은 상기에 기재한 금속을 나타내며, m은 상기 금속의 안정가수, n는 0~2의 정수(M가 규소 이외의 원자인 경우에는 0을 나타냄), R1~R5는 수소 또는 탄소수 1에서 4 사이에서 에테르 결합을 포함해도 무방한 알킬기이다.
바람직하게는,
상기 제2 원료는 오존(O3), 과산화 수소(H2O2), 산소 또는 원자상 산소로부터 선택된 원료이다.
바람직하게는,
상기 제3 원료는, 탈리 수소를 포함하는 원료 또는 수소 플라즈마로부터 선택된 원료이다.
바람직하게는,
상기 불활성 가스로서 헬륨(He), 네온(Ne), 아르곤(Ar), 질소(N2)를 사용한다.
바람직하게는,
상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
상기 처리실 내의 온도를 20℃ 이상, 700℃ 이하로 한다.
바람직하게는,
상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
상기 처리실 내의 압력을 1 Pa 이상, 10,000 Pa 이하로 한다.
본 발명의 다른 바람직한 실시 형태에 따르면,
적어도 1매의 기판을 처리하는 처리실과,
제1 원료인 금속 화합물 또는 규소 화합물을 상기 처리실 내에 공급하는 제1 공급계와,
제2 원료인 산소 원자를 포함하는 산화 원료를 상기 처리실 내에 공급하는 제2 공급계와,
제3 원료인 원자상 수소를 상기 처리실 내에 공급하는 제3 공급계와,
상기 처리실 내의 분위기를 배기하는 배기계와,
상기 제1 공급계, 상기 제2 공급계, 제3 공급계를 제어하여, 상기 제1 원료, 상기 제2 원료, 상기 제3 원료를 상기 처리실 내에 소정 회수 반복적으로 공급시키고, 상기 제2 원료와 상기 제3 원료를 상기 처리실 내에 공급함으로써 상기 기판 표면의 반응 사이트를 OH기로 치환하도록 하는 제어부,
를 포함하는 기판 처리 장치가 제공된다.
한편, 명세서, 특허 청구의 범위, 도면 및 요약서를 포함하는 2006년 7월 20일 제출한 일본 특허 출원 제2006-198753호의 개시 내용 전체는, 본 국제 출원에서 지정한 지정국, 또는 선택한 선택국의 국내 법령이 허락하는 한, 그대로 인용하여 여기에 삽입한다.
여러 가지의 전형적인 실시 형태를 제시하고 설명했는데, 본 발명은 그러한 실시 형태에 한정되지 않는다. 따라서, 본 발명의 범위는 청구 범위에 의해서만 한정된다.
이상 설명한 바와 같이, 본 발명의 바람직한 실시 형태에 따르면, 금속 산화물의 박막 형성에 있어서 상술한 바와 같은 스텝 커버리지나 로딩 효과의 문제점을 배제하여, 저온에서 단시간에 금속 화합물을 웨이퍼(단차) 표면에 흡착시켜, 표면의 평탄성, 스텝 커버리지(요부 매립성)가 뛰어나며, 로딩 효과가 없는 금속 산화물의 박막 형성 방법 및 반도체 디바이스의 제조 방법 및 기판 처리 장치를 제공할 수 있다.
그 결과, 본 발명은 반도체 집적회로의 제조 방법에 있어서, 반도체 웨이퍼에 ALD법에 의하여 산화막을 형성하는 기술에 특히 적합하게 이용할 수 있다.

Claims (15)

  1. 적어도 1매의 기판을 처리실 내에 반입하는 공정과,
    제1 원료인 금속 화합물 또는 규소 화합물, 제2 원료인 산소 원자를 포함하는 산화 원료, 및 제3 원료인 원자상(原子狀) 수소를 상기 처리실 내에 소정 회수를 반복적으로 공급하여 상기 기판 표면에 금속 산화막 또는 규소 산화막을 형성하는 공정과,
    상기 기판을 상기 처리실 내로부터 반출하는 공정
    을 포함하되,
    상기 제2 원료와 상기 제3 원료를 상기 처리실 내에 공급함으로써 상기 기판 표면의 반응 사이트를 OH기로 치환하는 것인 반도체 디바이스의 제조 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
    상기 제1 원료, 제2 원료, 제3 원료를 상기 처리실 내에 공급할 때마다, 각각 상기 처리실 내를 불활성 가스에 의해 퍼지하는 것인 반도체 디바이스의 제조 방법.
  4. 제1항에 있어서,
    상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
    상기 제1 원료 및 상기 제3 원료를 상기 처리실 내에 공급할 때마다, 각각 상기 처리실 내를 퍼지 가스에 의해 퍼지하고,
    상기 제2 원료를 상기 처리실 내에 공급한 후에는, 상기 처리실 내를 퍼지 가스에 의해 퍼지하지 않는 것인 반도체 디바이스의 제조 방법.
  5. 제1항에 있어서,
    상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
    상기 제1 원료, 제2 원료 및 제3 원료를, 상기 제1 원료, 상기 제2 원료, 상기 제3 원료의 순서로, 상기 처리실 내에 공급하는 것인 반도체 디바이스의 제조 방법.
  6. 제1항에 있어서,
    상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
    상기 제1 원료, 제2 원료 및 제3 원료를, 상기 제1 원료, 상기 제3 원료, 상기 제2 원료의 순서로, 상기 처리실 내에 공급하는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
  7. 제1항에 있어서,
    상기 금속 산화막 또는 규소 산화막은, Al2O3, TiO2, ZrO2, HfO2, Ta2O5, RuO2, IrO2, SiO2로 이루어지는 군에서 선택되는 하나로 이루어지는 막인 반도체 디바이스의 제조 방법.
  8. 제1항에 있어서,
    상기 제1 원료는, 금속 화합물 또는 규소 화합물로서, 알루미늄 원자, 티탄 원자, 지르코늄 원자, 하프늄 원자, 탄탈 원자, 루테늄 원자, 이리듐 원자 또는 규소 원자를 포함하는 유기 화합물이나, 상기 원자의 염화물로 이루어지는 군으로부터 선택된 하나의 원료인 반도체 디바이스의 제조 방법.
  9. 제8항에 있어서,
    상기 제1 원료는, MHn〔OCR1R2R3m-n, MHn〔NR4R5m-n인 반도체 디바이스의 제조 방법.[단, M은 청구항 8에 기재한 금속을 나타내고, m은 상기 금속의 안정가수, n은 0~2의 정수(M이 규소 이외의 원자인 경우에는 0을 나타냄), Rl~R5는 수소 또는 탄소수 1부터 4 사이에서 에테르 결합을 포함해도 무방한 알킬기이다]
  10. 제1항에 있어서,
    상기 제2 원료는 오존, 과산화수소, 산소 또는 원자상 산소로부터 선택된 원료인 반도체 디바이스의 제조 방법.
  11. 제1항에 있어서,
    상기 제3 원료는, 탈리(脫離) 수소를 포함하는 원료 또는 수소 플라즈마로부터 선택된 원료인 반도체 디바이스의 제조 방법.
  12. 제3항에 있어서,
    상기 불활성 가스로서 헬륨, 네온, 아르곤, 질소를 사용하는 것인 반도체 디바이스의 제조 방법.
  13. 제1항에 있어서,
    상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
    상기 처리실 내의 온도를 20℃ 이상, 700℃ 이하로 하는 것인 반도체 디바이스의 제조 방법.
  14. 제1항에 있어서,
    상기 금속 산화막 또는 규소 산화막을 형성하는 공정에서는,
    상기 처리실 내의 압력을 1 Pa 이상, 10000 Pa 이하로 하는 것인 반도체 디바이스의 제조 방법.
  15. 적어도 1매의 기판을 처리하는 처리실과,
    제1 원료인 금속 화합물 또는 규소 화합물을 상기 처리실 내에 공급하는 제1 공급계와,
    제2 원료인 산소 원자를 포함하는 산화 원료를 상기 처리실 내에 공급하는 제2 공급계와,
    제3 원료인 원자상 수소를 상기 처리실 내에 공급하는 제3 공급계와,
    상기 처리실 내의 분위기를 배기하는 배기계와,
    상기 제1 공급계, 상기 제2 공급계, 제3 공급계를 제어하여, 상기 제1 원료, 상기 제2 원료, 상기 제3 원료를 상기 처리실 내에 소정 회수 반복적으로 공급시키고, 상기 제2 원료와 상기 제3 원료를 상기 처리실 내에 공급함으로써 상기 기판 표면의 반응 사이트를 OH기로 치환하도록 하는 제어부
    를 포함하는 기판 처리 장치.
KR1020087018387A 2006-07-20 2007-07-19 반도체 디바이스의 제조 방법 및 기판 처리 장치 KR101060633B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006198753 2006-07-20
JPJP-P-2006-00198753 2006-07-20
PCT/JP2007/064236 WO2008010546A1 (fr) 2006-07-20 2007-07-19 Procédé de fabrication de dispositif semiconducteur et appareil de traitement de substrat

Publications (2)

Publication Number Publication Date
KR20080096521A KR20080096521A (ko) 2008-10-30
KR101060633B1 true KR101060633B1 (ko) 2011-08-31

Family

ID=38956878

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087018387A KR101060633B1 (ko) 2006-07-20 2007-07-19 반도체 디바이스의 제조 방법 및 기판 처리 장치

Country Status (4)

Country Link
US (1) US7981815B2 (ko)
JP (1) JP5171625B2 (ko)
KR (1) KR101060633B1 (ko)
WO (1) WO2008010546A1 (ko)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5177660B2 (ja) * 2008-03-06 2013-04-03 独立行政法人産業技術総合研究所 絶縁膜の形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
KR101512880B1 (ko) * 2011-05-18 2015-04-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP5602711B2 (ja) * 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5679581B2 (ja) 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
FI125222B (en) 2013-03-22 2015-07-15 Beneq Oy Apparatus for processing two or more substrates in a batch process
KR101448025B1 (ko) * 2013-08-26 2014-10-08 주식회사 원익큐엔씨 규소 함유 소재의 본딩 방법
KR101448026B1 (ko) * 2013-08-26 2014-10-08 주식회사 원익큐엔씨 유기 용제를 사용한 규소 함유 소재의 본딩 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10343907B2 (en) 2014-03-28 2019-07-09 Asm Ip Holding B.V. Method and system for delivering hydrogen peroxide to a semiconductor processing chamber
US9431238B2 (en) 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10458016B2 (en) 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6523185B2 (ja) 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6602261B2 (ja) 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004523885A (ja) 2000-11-24 2004-08-05 エーエスエム アメリカ インコーポレイテッド 堆積前の表面調整方法
JP2006161061A (ja) * 2004-12-02 2006-06-22 Sony Corp 薄膜の形成方法および半導体装置の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7341959B2 (en) * 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004523885A (ja) 2000-11-24 2004-08-05 エーエスエム アメリカ インコーポレイテッド 堆積前の表面調整方法
JP2006161061A (ja) * 2004-12-02 2006-06-22 Sony Corp 薄膜の形成方法および半導体装置の製造方法

Also Published As

Publication number Publication date
WO2008010546A1 (fr) 2008-01-24
KR20080096521A (ko) 2008-10-30
US20090053906A1 (en) 2009-02-26
JPWO2008010546A1 (ja) 2009-12-17
US7981815B2 (en) 2011-07-19
JP5171625B2 (ja) 2013-03-27

Similar Documents

Publication Publication Date Title
KR101060633B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
KR101307794B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP4753841B2 (ja) 半導体デバイスの製造方法
JP5774822B2 (ja) 半導体デバイスの製造方法及び基板処理装置
KR101139369B1 (ko) 반도체 디바이스의 제조 방법, 반도체 디바이스 및 기판 처리 장치
US8808455B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR101160805B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 반도체 장치
JP5284182B2 (ja) 基板処理装置および半導体装置の製造方法
JP4836761B2 (ja) 半導体デバイスの製造方法
JP2009295729A (ja) 基板処理装置
JP2012067328A (ja) 半導体装置の製造方法及び基板処理装置
WO2011093203A1 (ja) 半導体装置の製造方法、基板処理装置及び半導体装置
JP2012134311A (ja) 半導体デバイスの製造方法及び基板処理装置
JP4563113B2 (ja) シリコン酸化膜の形成方法、半導体デバイスの製造方法および基板処理装置
JP5460775B2 (ja) 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
JP5350329B2 (ja) 半導体デバイスの製造方法および基板処理装置
KR100935289B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP5421812B2 (ja) 半導体基板の成膜装置及び方法
JP2007194331A (ja) 基板処理装置
JP2012054311A (ja) 半導体装置の製造方法及び基板処理装置
JP2010206218A (ja) シリコン酸化膜の形成方法
JP2011155033A (ja) 半導体デバイスの製造方法および半導体デバイス
JP2012233265A (ja) 半導体装置の製造方法及び基板処理装置
JP2010103364A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140805

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150821

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160819

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170810

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190716

Year of fee payment: 9