JP6583081B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP6583081B2
JP6583081B2 JP2016057341A JP2016057341A JP6583081B2 JP 6583081 B2 JP6583081 B2 JP 6583081B2 JP 2016057341 A JP2016057341 A JP 2016057341A JP 2016057341 A JP2016057341 A JP 2016057341A JP 6583081 B2 JP6583081 B2 JP 6583081B2
Authority
JP
Japan
Prior art keywords
layer
film
wafer
etching
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016057341A
Other languages
English (en)
Other versions
JP2017174902A5 (ja
JP2017174902A (ja
Inventor
寛晃 池川
寛晃 池川
小川 淳
淳 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2016057341A priority Critical patent/JP6583081B2/ja
Priority to TW106108647A priority patent/TWI662607B/zh
Priority to KR1020170033034A priority patent/KR102103625B1/ko
Priority to US15/465,144 priority patent/US10297443B2/en
Publication of JP2017174902A publication Critical patent/JP2017174902A/ja
Publication of JP2017174902A5 publication Critical patent/JP2017174902A5/ja
Application granted granted Critical
Publication of JP6583081B2 publication Critical patent/JP6583081B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Weting (AREA)

Description

本発明は、半導体装置製造用の基板の表面に形成された凹部に対して成膜処理を行って凹部を埋め込む技術に関する。
半導体ウエハ(以下「ウエハ」という)に成膜を行う手法として、原料ガス及び反応ガスをウエハに対して順番に供給してウエハの表面に反応生成物の分子層(あるいは原子層)を堆積させて薄膜を得るALD(Atomic Layer Deposition)法が知られている。回路パターンの凹凸が形成されたウエハに対してALD法により成膜処理を行うと、凹凸形状に沿った膜(コンフォーマルな形状の膜)が成膜される。
一方、パターンが微細化し、パターン合わせにおいても高い精度が要求されていることなどから、コンタクトホールの形成法として自己整合型コンタクトホール形成法が用いられている。そして半導体デバイスの立体化が進んでいることから、例えばコンタクトホールや溝部が微細化しかつアスペクト比がより大きくなる傾向にある。このため例えば自己整合コンタクトホールに対してALD法を用いてシリコン窒化膜により埋め込むプロセスを行うと、コンタクトホールに内にて埋め込み部分(シリコン窒化膜)にボイドやシームなどの空隙が形成されるおそれがある。
特許文献1には、アルミニウム層に形成された凹部内に、CF系のガス及びCH系のガスを用いてフロロカーボン膜(CF膜)を成膜して埋め込むにあたり、埋め込みを途中で停止し、酸素ガスを用いてCF膜をエッチングし、その後CF膜の埋め込み処理を行う技術が開示されている。この技術は、本発明のように成膜部位におけるインキュベーションタイムの差を考慮したものではない。
特開平10−144675号公報(図21)
本発明はこのような事情の下になされたものであり、その目的は、基板の表面に形成された凹部パターンを埋めるように成膜するにあたって、凹部パターンを隙間なく埋める技術を提供することにある。
本発明の半導体装置の製造方法は、上面に第1の層の表面が露出していると共に前記第1の層に凹部が形成され、前記凹部の側壁が第2の層により被覆された半導体装置製造用の基板の上に処理ガスを供給して第3の層を形成し、当該第3の層により前記凹部を埋め込む前段工程と、
次に前記第3の層をエッチングし、前記第1の層の上面が露出すると共に凹部内に第3の層が残った状態でエッチングを停止するエッチング工程と、
その後、基板の上に処理ガスを供給して第3の層を形成し、当該第3の層により前記凹部を埋め込む後段工程と、を含み、
前記第1の層はシリコン酸化層であり、前記第2の層は窒化シリコン層であり、前記第3の層は窒化シリコン層であることと、
前記処理ガスを供給した時に、第1の層の表面におけるインキュベーションタイムが第2の層の表面におけるインキュベーションタイムよりも長いことと、を特徴とする。
本発明は、半導体装置製造用の基板の凹部の上面におけるインキュベーションタイムが凹部の側面におけるインキュベーションタイムよりも長い関係にある当該凹部を埋め込むにあたって、途中まで埋め込みを行った後、上面が露出した状態までエッチング(いわゆるエッチバック)を行い、その後埋め込みを続行している。従って、埋め込みの続行時には、凹部の上面と側面との間のインキュベーションタイムの差により、頂部の閉塞が起こりにくくなるので、空隙の発生が抑えられた埋め込み(成膜)を行うことができる。
ウエハの表面付近を示す縦断面図である。 ウエハの表面付近を示す縦断面図である。 ウエハの表面付近を示す縦断面図である。 ウエハの表面付近を示す縦断面図である。 ウエハの表面付近を示す縦断面図である。 ウエハの表面付近を示す縦断面図である。 ウエハの表面付近を示す縦断面図である。 成膜装置を示す平面図である。 成膜装置を示す断面図である。 本発明の実施の形態に係る基板処理システムを示す平面図である。 ウエハの他の例の表面付近を示す縦断面図である。 ウエハのさらに他の例の表面付近を示す縦断面図である。
本発明の実施の形態に係る半導体装置の製造方法に使用される半導体装置製造用の基板であるウエハWの表面構造の一例について説明する。図1は半導体装置の製造工程の途中段階におけるウエハWの表面構造を示す。この表面構造は第1の層に相当するシリコン酸化膜(SiO膜)100がエッチングされて凹部であるホール109が形成されている。そしてホール109の内側を含むSiO膜100の表面が窒化されて、第2の層に相当する窒化シリコン膜からなるバリア膜101が形成されている。窒化シリコン膜は、理論的には、Siで表わされるが、本願明細書では、「SiN膜」と略記する。
その後、ウエハWに向けて、例えばCFガスを供給することで、バリア膜101がドライエッチングされる。これにより図2に示すようにウエハWの表面及びホール109の底面に形成されたバリア膜101が除去されて、SiO膜100が露出する。この時、ホール109の側面に形成されたバリア膜101は除去されずに残る。こうして形成されたコンタクトホールのアスペクト比(深さ/孔径)は、例えば1〜50である。
続いてウエハWを例えばALDにより成膜する成膜装置に搬入し、ウエハWの表面にSiN膜を成膜する前段工程である1回目の成膜工程を行う。成膜装置においては、例えばウエハWに向けて、シリコンを含むガス、例えばDCS(ジクロロシラン)と、NHガスをプラズマ化して得たプラズマ(アンモニアプラズマ)と、を交互に複数回供給する。これによりウエハWの表面にDCSが吸着し、次いでDCSとアンモニアプラズマとが反応してSiNの分子層が形成され、この分子層が順次積層されてSiN膜が成膜される。
しかしながらホール109が細くかつ深い構造の場合、即ちホール109のアスペクト比が大きい場合、ホール109を隙間なく埋め切る前にSiN膜102がホール109の上方を閉塞し、図3に示すようにホール109を埋めるSiN膜102にボイドやシームなどの隙間が形成されることがある。
続いて1回目の成膜工程を行ったウエハWは、成膜装置から搬出され、例えば公知のウェットエッチングを行う液処理装置に搬入される。液処理装置に搬入されたウエハWは、例えば160〜165℃に加熱されたリン酸液に浸漬される。エッチング処理の手法としては、スピンチャックにウエハWを吸着させて回転させながら上方のノズルからエッチング液をウエハWに供給する手法、あるいはエッチング層に複数枚のウエハWを一括して浸漬する手法などが挙げられる。そしてエッチング停止のタイミングとしては、SiN膜102の上面がホール109の開口面よりも低くなり、かつ空隙(例えばボイド)が露出している状態になるタイミングを上げることができる。
このように空隙が露出しているタイミングでエッチングを止めれば、後述の第2回目以降の成膜時に空隙が埋められ、空隙がなくなるまでエッチングする場合に比べてエッチング時間及び次工程の成膜時間が短くて済み処理効率が良い。図4はエッチング処理後のウエハWの表面の状態を示し、ウエハW表面のSiO膜100が露出していると共に、ホール109に埋め込まれたSiN膜102の表面側の部分が除去されている。
エッチング工程を行ったウエハWは、液処理装置から搬出され、例えば1回目の成膜工程に用いた成膜装置に搬入される。そしてSiN膜を成膜する2回目の成膜工程を行う。2回目の成膜工程においても、1回目の成膜工程と同様にDCSと、NHガスをプラズマ化して得たプラズマ(アンモニアプラズマ)と、を交互に複数回供給する。
既述のエッチング工程後のウエハWの表面においてSiO膜100の表面が露出しているので、SiN膜が成膜される被成膜領域は、SiO膜100の表面、バリア膜(SiN膜)101及びホール109に埋め込まれたSiN膜102の表面である。これらの表面におけるSiNの成膜に係るインキュベーションタイムは、SiO膜100の方がバリア膜101及びSiN膜102よりも長い。インキュベーションタイムとは、成膜用の処理ガスを被処理表面に供給した時点から、薄膜の成膜が開始されるまでの時間である。インキュベーションタイムが発生する理由は、被処理表面と成膜種との関係において、膜の成長が起こる核が被処理表面に形成されることが必要な場合があり、処理ガスを被処理表面に供給した後、核の形成に時間を要することが挙げられる。
SiO膜100の表面においては、SiNの分子層が積層され始めるために必要な核が処理ガスの供給と同時に形成されるのではなく、少し遅れて形成される。一方、バリア膜101と成膜すべき膜(SiN膜103)とは、化合物という観点では同種であることから、バリア膜101の表面には処理ガスの供給とほぼ同時にSiN膜103の成膜が行われる。バリア膜101及びSiN膜102の表面は成膜が進んでいるが、SiO膜100の表面はまだ成膜が開始されていない様子を図5に模式的に示す。なお、図5ではバリア膜101及びSiN膜102上の成膜が進んでいる状態を強調して描いている。従って処理ガスを供給した後のあるタイミングでSiN膜103の膜厚を見ると、SiO膜100の表面よりもホール109の内周面における膜厚の方が厚い。
従って、図5に示した状態からさらに成膜を行った時に図6に示すようにSiO膜100の表面側に形成されるホール109の上方の周囲から押し寄せるSiN膜103が、ホール109の上方を閉塞させる前に、ホール109の内部にSiN膜103を隙間なく埋めきることができる。
その後ウエハWは、例えばCMP(Chemical Mechanical Polishing)により研磨されて、SiO膜100の表面のSiN膜103が除去される。これにより図7に示すようにウエハWの表面にSiO膜100が露出し、ホール109がSiN膜103(102)により埋め込まれた状態となる。
ウエハWにSiN膜102、103を成膜する成膜装置の一例を挙げておく。成膜装置10は、図8〜図9に示すように、扁平な円筒状の真空容器11と、真空容器11内に設けられ、周方向に沿って例えば5枚のウエハWを載置する回転テーブル12と、を備えている。回転テーブル12には、回転機構13が設けられ、回転テーブル12は、鉛直軸周りに回転する。真空容器11における回転テーブル12のウエハWの載置部分の下方には、回転テーブルに載置されたウエハWを加熱するヒータ15が設けられている。真空容器11には、回転テーブル12の回転方向に沿って、原料ガス供給領域R1及び窒化ガス供給領域R2が設けられている。
原料ガス供給領域R1における回転テーブル12の上方は、ガス給排気部3が設けられている。ガス給排気部3における、中心側の領域はガスシャワーヘッド31となっている。ガスシャワーヘッド31は、例えば下方に向けて原料ガスであるDCSガスを供給できるように構成されており、回転テーブル12に載置されたウエハWが原料ガス供給領域R1に位置すると、DCSガスが当該ウエハWの表面に供給されて吸着される。またガス給排気部3の下面には、その周縁に沿って、環状の分離ガス吐出口32が開口しており、さらに分離ガス吐出口32と、ガスシャワーヘッド31との間には、分離ガス吐出口32に沿って環状の排気口33が設けられている。分離ガス吐出口32は、原料ガス供給領域R1の下方の周縁部に分離ガスであるアルゴン(Ar)ガスを供給するように構成されている。また排気口33は、ガスシャワーヘッド31からウエハWに向けて供給されたDCSガスを排気すると共に、分離ガスを吸引して排気する。この排気口33によるDCSガスの排気と、分離ガス吐出口32から分離ガスを吐出して、排気口33に向かう流れを形成することにより、DCSガスが原料ガス供給領域R1の外へ流れないようになっている。なお図9中の34はDCSガス供給源、35は分離ガス供給源、36は排気手段である。
窒化ガス供給領域R2は、例えば2本のガスノズル41からガスノズル41挟まれた窒化ガス供給領域R2にNHガスを供給すると共に、窒化ガス供給領域R2上方からマイクロ波を供給するように構成されている。なお図8中の40はガス供給管、42はNHガス供給源、44は流量調整部を示す。また図9中の21は誘電体窓、22は誘電体プレート、23は導波管、24はマイクロ波供給部を示す。そして窒化ガス供給領域R2にNHガスを供給した後、マイクロ波が供給されてNHガスがプラズマ化する。そして回転テーブル12が回転し表面にDCSが吸着したウエハWが窒化ガス供給領域R2に進入すると、ウエハW表面に吸着したDCSとアンモニアプラズマとが反応してSiNの分子層が形成される。このようにウエハWを載置した回転テーブル12を回転させることにより、ウエハWが各領域を交互に通過することにより、原料ガスの吸着、吸着した原料ガスの窒化が繰り返されてSiN層が積層されていく。
続いて本発明の半導体装置の製造方法を実施する半導体装置の製造システムである基板処理システムの一例を示す。図10に示すように基板処理システムは、ウエハWにSiN膜を成膜するための真空処理システム9を備えている。真空処理システム9は、既述の成膜装置10を備え、ウエハWを収納したキャリアCが載置されるキャリア載置部91を備えており、キャリア載置部91に載置されたキャリアCから取り出されたウエハWは、常圧搬送室92、ロードロック室93及び真空搬送室94を介して成膜装置10に搬入される。なお図10中の95、及び96は夫々常圧搬送室92及び真空搬送室94に設けられた搬送アームであり、97は、ゲートバルブである。
また基板処理システムは、ウエハWに成膜されたSiN膜をエッチングする液処理システム8を備えている。液処理システム8は、キャリア載置部81と、搬送アーム83を備えた受け渡し部82と、液処理部84と、を備えている。液処理部84は、例えばウエハWを、エッチング液である加熱されたリン酸の貯留された液槽に浸漬してSiN膜102をエッチングするエッチング部、ウエハWに付着したリン酸を洗浄する洗浄部などを備えている。キャリア載置部81において、キャリアCから取り出されたウエハWは、搬送アーム83を介して液処理部84に受け渡され、液処理後にキャリアCに戻される。
また基板処理システムは、例えば天井搬送機構300を備えている。天井搬送機構300は、天井に沿って配置されたガイドレール301とキャリアCを搬送する搬送部302とを備えている。
さらに基板処理システムは、液処理システム8、真空処理システム9及び天井搬送機構300を各々制御するコントローラ202、203及び204を備えており、各コントローラ202、203及び204は、上位制御部である上位コンピュータ200により指示される。上位コンピュータ200は、例えば図2に示すウエハWに対して、真空処理システム9にて、1回目のSiN膜102の成膜処理を行う工程、次いで液処理システム8にて、SiN膜102膜のエッチング処理を行う工程、その後真空処理システム9に戻し、2回目のSiN膜103の成膜処理を行う工程を順次実施するためのプログラムを備えている。
上述の実施形態では、SiO膜100に形成された凹部109に対して、例えばALDによりSiN膜102の成膜を行って埋め込みを行った後、例えばウェットエッチングによりSiN膜102を、ウエハW表面のSiO膜100が露出するまでエッチングした後、再度SiN膜103の埋め込み処理(成膜処理)を行っている。既に詳述したように、SiN膜103の成膜に係るインキュベーションタイム(処理ガス供給時から成膜開始までの遅れ時間)は、SiO膜100の方がバリア膜101よりも長い。このため、エッチング後の再度のSiN膜103の埋め込み処理においては、SiO膜100の上面側から凹部109の上方にSiN膜103が押し寄せる前に凹部109内にSiN膜103が埋め込まれるので、ボイドやシームなどの空隙の発生を抑えることができる。
また上述の実施の形態においては、エッチング工程と、その後の成膜工程とを1回ずつ行っているが、例えばホール109のアスペクト比がかなり大きいなどの事情により各工程が一回の場合には、空隙が生じるおそれがある場合には、2回目の成膜工程を行った後、エッチング工程と、その後の成膜工程と、を1回以上繰り返してもよい。
さらに半導体装置製造用の基板の他の例について説明する。図11に示すように例えば1回目の成膜処理を行う前のウエハWとして、第1の層となるSiO膜100が第2の層となるシリコン層104の表面に成膜され、SiO膜100からシリコン層104まで貫通するようにホール109が形成された構成が挙げられる。このようなウエハWにおいては、ホール109における大部分の側面は、シリコンとなっている。そのため例えばSiNによりホールを埋めるように成膜する場合には、シリコンとSiOとのインキュベーションタイムの差を利用してホール109にSiNを埋め込むことができるため、本発明を適用することができる
またホール109の内面を除くウエハWの表面のバリア膜101を除去して、ウエハW表面のSiO膜100を露出させるにあたっては、CMPを用いてもよい。CMPの場合には、ウエハWの表面を研磨により除去するため図12に示すようにホール109の内側の底面のバリア膜101も残すことができる。そのため続いて1回目の成膜工程においてSiN膜102を成膜したときにホール109の内部の底面おいて、バリア膜101に積層されるためSiNの膜厚が速やかに厚くなる。ボイドやシームなどの空隙は、ホール109の側面から成長するSiN膜同士の間に形成されるため、ホール109の底面から積層されるSiN膜の厚さが厚くなると、空隙がホール109の深い位置に形成されにくくなる。そのためエッチング工程にてホール109を埋めたSiN膜102のエッチングを少なくすることができる。
またSiN膜102をエッチングするエッチング工程は、例えばCF、NF、CH、C、C、C及びCHFなどのガスを用いたドライエッチングにより行ってもよく、この場合には、図10の真空処理システム9の真空搬送室94にドライエッチングを行うエッチングモジュール(真空容器内にドライエッチングを行うための設備、機器を設けたモジュール)を接続してもよい。このような真空処理システム9によれば、成膜装置10とエッチングモジュール(エッチング装置)との間において、真空搬送室94の搬送アーム96がウエハWの受け渡しを行うので成膜→エッチング→成膜を行った後、さらにエッチング→成膜を1回以上行う場合であっても高いスループットで実施することができる。
さらに成膜装置は、例えば1枚のウエハWを真空容器内に載置して処理を行う枚葉式の成膜装置でも良い。あるいはウエハWに原料ガスと、反応ガスとを供給して、ウエハWの表面に分子層(あるいは原子層)を積層するCVD(chemical vapor deposition)法を行う成膜装置でもよい。
8 液処理システム
9 真空処理システム
10 成膜装置
100 SiO
101 バリア膜
102 1回目のSiN膜
103 2回目のSiN膜
109 溝部
200 上位コンピュータ
202〜204 コンピュータ
300 天井搬送機構
W ウエハ

Claims (4)

  1. 上面に第1の層の表面が露出していると共に前記第1の層に凹部が形成され、前記凹部の側壁が第2の層により被覆された半導体装置製造用の基板の上に処理ガスを供給して第3の層を形成し、当該第3の層により前記凹部を埋め込む前段工程と、
    次に前記第3の層をエッチングし、前記第1の層の上面が露出すると共に凹部内に第3の層が残った状態でエッチングを停止するエッチング工程と、
    その後、基板の上に処理ガスを供給して第3の層を形成し、当該第3の層により前記凹部を埋め込む後段工程と、を含み、
    前記第1の層はシリコン酸化層であり、前記第2の層は窒化シリコン層であり、前記第3の層は窒化シリコン層であることと、
    前記処理ガスを供給した時に、第1の層の表面におけるインキュベーションタイムが第2の層の表面におけるインキュベーションタイムよりも長いことと、を特徴とする半導体装置の製造方法。
  2. 前記エッチング工程は、凹部内における第3の層に前段工程時に形成された空隙が露出している状態でエッチングを停止することを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記エッチング工程は、エッチング液である、加熱したリン酸溶液を基板に接触させる工程であることを特徴とする請求項1または2に記載の半導体装置の製造方法。
  4. 前記後段工程を行った後、前記エッチング工程及び前記後段工程を少なくとも1回繰り返すことを特徴とする請求項1ないしのいずれか一項に記載の半導体装置の製造方法。
JP2016057341A 2016-03-22 2016-03-22 半導体装置の製造方法 Active JP6583081B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2016057341A JP6583081B2 (ja) 2016-03-22 2016-03-22 半導体装置の製造方法
TW106108647A TWI662607B (zh) 2016-03-22 2017-03-16 半導體裝置之製造方法及半導體裝置之製造系統
KR1020170033034A KR102103625B1 (ko) 2016-03-22 2017-03-16 반도체 장치의 제조 방법 및 반도체 장치의 제조 시스템
US15/465,144 US10297443B2 (en) 2016-03-22 2017-03-21 Semiconductor device manufacturing method and semiconductor device manufacturing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016057341A JP6583081B2 (ja) 2016-03-22 2016-03-22 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2017174902A JP2017174902A (ja) 2017-09-28
JP2017174902A5 JP2017174902A5 (ja) 2018-12-13
JP6583081B2 true JP6583081B2 (ja) 2019-10-02

Family

ID=59896679

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016057341A Active JP6583081B2 (ja) 2016-03-22 2016-03-22 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US10297443B2 (ja)
JP (1) JP6583081B2 (ja)
KR (1) KR102103625B1 (ja)
TW (1) TWI662607B (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11056348B2 (en) * 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
JP7040257B2 (ja) * 2018-04-25 2022-03-23 東京エレクトロン株式会社 成膜装置、及び成膜方法
JP7004608B2 (ja) * 2018-05-11 2022-01-21 東京エレクトロン株式会社 半導体膜の形成方法及び成膜装置
US10741442B2 (en) 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
US10872788B2 (en) * 2018-11-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Wet etch apparatus and method for using the same
CN110459464B (zh) * 2019-08-14 2021-09-14 中国科学院微电子研究所 一种厚膜氮化硅的区域挖槽制备方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5654049A (en) * 1979-10-09 1981-05-13 Mitsubishi Electric Corp Semiconductor device
JP2812599B2 (ja) * 1992-02-06 1998-10-22 シャープ株式会社 半導体装置の製造方法
JPH07297182A (ja) * 1994-04-27 1995-11-10 Sony Corp SiN系絶縁膜の形成方法
JP3660391B2 (ja) * 1994-05-27 2005-06-15 株式会社東芝 半導体装置の製造方法
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3402972B2 (ja) 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
JP2003243537A (ja) * 2002-02-18 2003-08-29 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3759525B2 (ja) * 2003-10-27 2006-03-29 松下電器産業株式会社 半導体装置の製造方法
WO2005062390A1 (en) * 2003-12-22 2005-07-07 Showa Denko K.K. Group iii nitride semiconductor device and light-emitting device using the same
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
KR100711928B1 (ko) * 2005-12-29 2007-04-27 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 및 그 형성 방법
JP5550843B2 (ja) * 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8742476B1 (en) * 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
JP5490753B2 (ja) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 トレンチの埋め込み方法および成膜システム
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5829926B2 (ja) * 2011-07-06 2015-12-09 東京エレクトロン株式会社 タングステン膜の成膜方法
JP5162016B1 (ja) * 2011-09-15 2013-03-13 株式会社東芝 半導体素子、ウェーハ、半導体素子の製造方法及びウェーハの製造方法
US9000557B2 (en) * 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置

Also Published As

Publication number Publication date
TWI662607B (zh) 2019-06-11
KR102103625B1 (ko) 2020-04-22
KR20170110020A (ko) 2017-10-10
TW201738947A (zh) 2017-11-01
US20170278698A1 (en) 2017-09-28
JP2017174902A (ja) 2017-09-28
US10297443B2 (en) 2019-05-21

Similar Documents

Publication Publication Date Title
JP6583081B2 (ja) 半導体装置の製造方法
JP7483839B2 (ja) エアギャップの形成方法
TWI828989B (zh) 半導體裝置製造中之氧化錫膜
TWI657535B (zh) 用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成
TWI493654B (zh) 在晶圓上沈積薄膜的裝置與方法以及使用該方法與裝置進行填隙溝渠的方法
KR102345587B1 (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
JP2020529736A (ja) 水平表面上におけるSiNの選択的堆積
KR20200043527A (ko) 처리 장치 및 기판 처리 장치
KR102441239B1 (ko) 에칭 방법
KR102297695B1 (ko) 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화
TW201726963A (zh) 低介電常數含鋁蝕刻終止膜的形成方法
JP7208318B2 (ja) 処理装置
KR20210134737A (ko) 성막 방법 및 성막 장치
CN112442680A (zh) 成膜装置及成膜方法
TW202105490A (zh) 蝕刻停止層
US20220389571A1 (en) Apparatus For Single Chamber Deposition And Etch
TW202314800A (zh) 用於底層金屬上之完全著底通孔之選擇性蝕刻停止封蓋及選擇性通孔開口之方法及裝置

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20180117

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180918

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181030

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190521

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190719

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190819

R150 Certificate of patent or registration of utility model

Ref document number: 6583081

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250