CN109427576A - 蚀刻方法 - Google Patents

蚀刻方法 Download PDF

Info

Publication number
CN109427576A
CN109427576A CN201811019388.4A CN201811019388A CN109427576A CN 109427576 A CN109427576 A CN 109427576A CN 201811019388 A CN201811019388 A CN 201811019388A CN 109427576 A CN109427576 A CN 109427576A
Authority
CN
China
Prior art keywords
silicon
film
machined object
gas
containing film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811019388.4A
Other languages
English (en)
Other versions
CN109427576B (zh
Inventor
长友优
木原嘉英
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN109427576A publication Critical patent/CN109427576A/zh
Application granted granted Critical
Publication of CN109427576B publication Critical patent/CN109427576B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本发明提供一种蚀刻方法,能够保护对含硅膜的蚀刻的耐性优异的掩模。一个实施方式的蚀刻方法在腔室主体内配置被加工物的状态下执行。蚀刻方法包括:在被加工物上形成钨膜的步骤;和对被加工物的含硅膜进行蚀刻的步骤。形成钨膜的步骤包括:向被加工物供给含钨的前体气体的步骤;和为了向被加工物上的前体供给氢的活性种,而生成氢气的等离子体的步骤。在对含硅膜进行蚀刻的步骤中,在腔室主体内生成含氟、氢和碳的处理气体的等离子体。

Description

蚀刻方法
技术领域
本公开的实施方式涉及蚀刻方法。
背景技术
在电子设备的制造步骤中,利用等离子体对含硅膜进行蚀刻。含硅膜由氧化硅、氮化硅之类的含硅材料形成。例如,在具有三维结构的NAND型闪存的制造中,对作为含硅膜包括交替层叠的多个氧化硅膜和多个氮化硅膜的多层膜进行蚀刻。在含硅膜的蚀刻中,作为掩模使用含无定形碳之类的碳的掩模。掩模中形成有开口。
专利文献1记载了多层膜的蚀刻。在专利文献1所记载的蚀刻中,生成氢氟碳化合物气体的等离子体,利用来自等离子体的氟的活性种,对多层膜进行蚀刻。在多层膜的蚀刻中,在掩模上形成含碳的沉积物,利用该沉积物保护掩模。
现有技术文献
专利文献
专利文献1:美国专利申请公开第2013/0059450号说明书
发明内容
发明想要解决的技术问题
要求在上述的多层膜和单一的氧化硅膜之类的含硅膜上,形成高纵横比的开口或形成深的开口。为了在含硅膜上形成这样的开口,需要在该含硅膜的蚀刻过程中提供具有更优异的蚀刻耐性的掩模的保护。
用于解决技术问题的技术方案
在一个方面,提供一种含硅膜的蚀刻方法。含硅膜具有单一的氧化硅膜或交替层叠的多个氧化硅膜和多个氮化硅膜。蚀刻方法在具有含硅膜的被加工物配置在等离子体处理装置的腔室主体内的状态下执行。被加工物还具有设置在含硅膜上的掩模。掩模含碳,在掩模形成有开口。该蚀刻方法包括:在被加工物上形成钨膜的步骤;和对含硅膜进行蚀刻的步骤。形成钨膜的步骤包括:为了在被加工物上沉积含钨的前体,而向被加工物供给含钨的前体气体的步骤;和为了向被加工物上的前体供给氢的活性种,而生成氢气的等离子体的步骤。在对含硅膜进行蚀刻的步骤中,为了对含硅膜进行蚀刻,而在腔室主体内生成含氟、氢和碳的处理气体的等离子体。
在一个方面的蚀刻方法中,在掩模上形成钨膜。因此,在蚀刻过程中,掩模被具有比含碳物质更优异的蚀刻耐性的材料保护。但是,在通过不利用等离子体的原子层沉积法形成钨膜时,为了发生用于除去前体中的杂质的反应,被加工物的温度通常被设定为250℃以上的温度。另一方面,在一个方面的蚀刻方法中,利用来自氢气的等离子体的氢的活性种除去前体中的杂质形成钨膜,所以执行形成钨膜的步骤时的被加工物的温度可以设定为低的温度。此处,被加工物的温度越低,含硅膜的蚀刻速率越高。因此,在一个方面的蚀刻方法中,通过将执行形成钨膜的步骤时的被加工物的温度和执行对含硅膜进行蚀刻的步骤时的被加工物的温度被设定为较低的温度,能够使执行形成钨膜的步骤时的被加工物的温度和执行对含硅膜进行蚀刻的步骤时的被加工物的温度之差减少。所以,在形成钨膜的步骤和对含硅膜进行蚀刻的步骤之间,无需改变被加工物的温度,或者能够缩短改变被加工物的温度的时间。结果是,能够提高包括形成钨膜和蚀刻含硅膜在内的处理的生成能力(throughput)。
在一个实施方式中,交替反复执行形成钨膜的步骤和对含硅膜进行蚀刻的步骤。
在一个实施方式的形成钨膜的步骤中,交替反复执行供给前体气体的步骤和生成氢气的等离子体的步骤。
在一个实施方式的形成钨膜的步骤和对含硅膜进行蚀刻的步骤中,被加工物的温度被设定为0℃以下的温度。在一个实施方式的形成钨膜的步骤和对含硅膜进行蚀刻的步骤中,被加工物的温度被设定为-20℃以下的温度。
在一个实施方式中,前体气体是卤化钨气体。在一个实施方式中,前体气体是六氟化钨气体。
发明效果
如以上所说明的方式,在该含硅膜的蚀刻过程中能够提供具有更优异的蚀刻耐性的掩模的保护。
附图说明
图1是表示一个实施方式的蚀刻方法的流程图。
图2是能够应用图1所示的蚀刻方法的一例的被加工物的局部放大截面图。
图3是例示能够用于图1所示的蚀刻方法的执行的等离子体处理装置。
图4是图1所示的蚀刻方法的步骤ST1的时序图。
图5是在图1所示的蚀刻方法的执行过程中其上形成钨膜的状态的被加工物的局部放大截面图。
图6是在图1所示的蚀刻方法的执行过程中含硅膜被部分地蚀刻的状态的被加工物的局部放大截面图。
图7是应用图1所示的蚀刻方法后的状态的被加工物的局部放大截面图。
图8是表示第一实验结果的曲线图。
图9是表示在第二实验中测量的尺寸的图。
图10是表示第二实验结果的曲线图。
附图标记说明
10···等离子体处理装置;12···腔室主体;12s···内部空间;14···工作台;18···下部电极;20···静电吸盘;26···制冷单元;30···上部电极;50···排气装置;62···第一高频电源;64···第二高频电源;W···被加工物;SF···含硅膜;F1···第一膜;F2···第二膜;MK···掩模;OM···开口;WF···钨膜。
具体实施方式
下面,参照附图对各类实施方式进行详细说明。其中,在各附图中,对相同或对应的部分标注相同的附图标记。
图1是表示一个实施方式的蚀刻方法的流程图。图1所示的蚀刻方法(以下称作“方面MT”)为了对含硅膜进行蚀刻而执行。图2是能够应用图1所示的蚀刻方法的一个例子的被加工物的局部放大截面图。图2所示的一例的被加工物W具有含硅膜SF。含硅膜SF设置在衬底层UL上。
含硅膜SF具有多个第一膜F1和多个第二膜F2。多个第一膜F1和多个第二膜F2交替层叠。多个第一膜F1由氧化硅形成。多个第二膜F2由氮化硅形成。即,被加工物W具有交替层叠的多个氧化硅膜和多个氮化硅膜。在图2所示的例子中,第一膜F1为直接设置在衬底层UL之上的最下层的膜,但也可以是,第二膜F2为直接设置在衬底层UL之上的最下层的膜。另外,图2所示的例子中,第一膜F1为直接设置在掩模MK之下的最上层的膜,但第二膜F2也可以为直接设置在掩模MK之下的最上层的膜。
被加工物W还具有掩模MK。掩模MK设置在含硅膜SF上。掩模MK由含碳的材料形成。掩模MK可以是无定形碳制的掩模。掩模MK上形成有开口OM。开口OM使含硅膜SF的表面部分露出。开口OM是孔或槽。在方法MT中,掩模MK的图案通过利用等离子体的蚀刻转印到含硅膜SF。
下面,以方法MT应用于图2所示的被加工物W的情况为例,对方法MT进行说明。但是,应用方法MT的被加工物不限于图2所示的被加工物。方法MT在被加工物W配置在等离子体处理装置的腔室主体内的状态下执行。
图3是例示能够用于图1所示的蚀刻方法的执行的等离子体处理装置的图。图3所示的等离子体处理装置10是电容耦合型等离子体蚀刻装置。等离子体处理装置10包括腔室主体12。腔室主体12大致具有圆筒形状,设有内部空间12s。腔室主体12例如由铝型材。腔室主体12的内壁面被实施了带来等离子体耐性的处理。例如,腔室主体12的内壁面被实施了阳极氧化处理。腔室主体12电接地。
腔室主体12的侧壁形成有通路12p。被加工物W在被搬入内部空间12s中时以及从内部空间12s搬出时,通过通路12p。该通路12p能够由闸阀12g开闭。
在腔室主体12的底部上设有支承部13。支承部13由绝缘材料形成。支承部13大致具有圆筒形状。支承部13在内部空间12s中从腔室主体12的底部在铅垂方向延伸。支承部13支承工作台14。工作台14设置在内部空间12s中。
工作台14具有下部电极18和静电吸盘20。工作台14还可以包括电极板16。电极板16由例如铝之类的导电性材料形成,大致具有圆盘形状。下部电极18设置在电极板16上。下部电极18由例如铝之类的导电性材料形成,大致具有圆盘形状。下部电极18与电极板16电连接。
静电吸盘20设置在下部电极18上。静电吸盘20的上表面之上载置有被加工物W。静电吸盘20具有由电介质形成的主体。静电吸盘20的主体内设有膜状的电极。静电吸盘20的电极通过开关与直流电源22连接。静电吸盘20的电极被施加来自直流电源22的电压时,在静电吸盘20与被加工物W之间产生静电引力。所产生的静电引力使得被加工物W被吸附到静电吸盘20,由该静电吸盘20保持。
在下部电极18的周缘部上以包围被加工物W的边缘的方式配置有聚焦环FR。聚焦环FR是为了提高蚀刻的均匀性而设置的。聚焦环FR没有限制,可以由硅、碳化硅或石英形成。
在下部电极18的内部设有流路18f。从设置在腔室主体12的外部的制冷单元26经由配管26a向流路18f供给制冷剂。供给至流路18f的制冷剂经由配管26b回到制冷单元26。在等离子体处理装置10中,载置在静电吸盘20上的被加工物W的温度通过制冷剂与下部电极18的热交换来调整。
等离子体处理装置10设有气体供给管线28。气体供给管线28将来自传热气体供给机构的传热气体,例如He气体供给至静电吸盘20的上表面与被加工物W的背面之间。
等离子体处理装置10还包括上部电极30。上部电极30设置在工作台14的上方。上部电极30经由部件32支承在腔室主体12的上部。部件32由具有绝缘性的材料形成。上部电极30还可以包括顶板34和支承体36。顶板34的下表面是内部空间12s侧的下表面,划分出内部空间12s。顶板34可以由焦耳热少的低电阻导电体或半导体形成。顶板34形成有多个气体排出孔34a。多个气体排出孔34a在顶板的板厚方向上贯穿该顶板34。
支承体36用于以能够装卸的方式支承顶板34,可以由铝之类的导电性材料形成。支承体36的内部设有气体扩散室36a。分别与多个气体排出孔34a连通的多个气体通流孔36b从气体扩散室36a向下方延伸。支承体36形成有将处理气体引导至气体扩散室36a的气体导入口36c。气体导入口36c与气体供给管38连接。
气体供给管38经由阀组42和流量控制器组44与气体源组40连接。气体源组40包括多个气体源。多个气体源包括构成方法MT中利用的处理气体的多个气体的源。阀组42包括多个开闭阀。流量控制器组44包括多个流量控制器。多个流量控制器分别为质量流量控制器或压力控制式的流量控制器。气体源组40的多个气体源经由阀组42的对应的阀和流量控制器组44的对应的流量控制器与气体供给管38连接。
在等离子体处理装置10中,沿着腔室主体12的内壁,以能够装卸的方式设有遮挡件46。遮挡件46还设置在支承部13的外周。遮挡件46防止蚀刻副产物附着在腔室主体12。遮挡件46例如通过在铝材上包覆Y2O3等陶瓷而构成。
在支承部13和腔室主体12的侧壁之间设有缓冲板48。缓冲板48例如通过在铝制的母材上包覆Y2O3等陶瓷而构成。在缓冲板48形成有多个贯通孔。缓冲板48的下方且腔室主体12的底部设有排气口12e。排气口12e经由排气管52与排气装置50连接。排气装置50具有压力控制阀和涡轮分子泵之类的真空泵。
等离子体处理装置10还包括第一高频电源62和第二高频电源64。第一高频电源62是产生等离子体生成用的第一高频的电源。第一高频的频率例如为27MHz~100MHz的范围内的频率。第一高频电源62经由匹配器66和电极板16与下部电极18连接。匹配器66具有用于使第一高频电源62的输出阻抗和负载侧(下部电极18侧)的输入阻抗匹配的电路。另外,第一高频62也可以经由匹配器66与上部电极30连接。
第二高频电源64是产生用于将离子引入被加工物W的第二高频的电源。第二高频的频率低于第一高频的频率。第二高频的频率例如为400kHz~13.56MHz的范围内的频率。第二高频电源64经由匹配器68和电极板16与下部电极18连接。匹配器68具有用于使第二高频电源64的输出阻抗与负载侧(下部电极18侧)的输入阻抗匹配的电路。
等离子体处理装置10还可以包括直流电源部70。直流电源部70与上部电极30连接。直流电源部70产生负的直流电压,能够对上部电极30施加该直流电压。
等离子体处理装置10还可以包括控制部Cnt。控制部Cnt可以是包括处理器、存储部、输入装置、显示装置等的计算机。控制部Cnt控制等离子体处理装置10的各部。在控制部Cnt中,可以利用输入装置进行用于操作人员管理等离子体处理装置10的命令的输入操作等。另外,在控制部Cnt中,可以利用显示装置可视化显示等离子体处理装置10的工作状况。另外,在控制部Cnt的存储部中收纳有利用处理器控制在等离子体处理装置10中执行的各种处理的控制程序和处理方案数据。控制部Cnt的处理器执行控制程序按照处理方案数据控制等离子体处理装置10的各部,从而在等离子体处理装置10中执行方法MT。
再次参照图1,以利用等离子体处理装置10的情况为例,对方法MT进行说明。但是,方法MT的执行中利用的等离子体处理装置不限于等离子体处理装置10。在以下的说明中,除图1外,还参照图4~图7。图4是图1所示的蚀刻方法的步骤ST1的时序图。在图4中,横轴表示时间。此外,在图4中,纵轴表示载气的流量,前体气体的流量、氢气的流量和高频的状态。在图4中,高频为导通表示为了生成等离子体至少供给第一高频,高频为断开表示停止第一高频和第二高频的供给。图5是在图1所示的蚀刻方法的执行过程中其上形成钨膜的状态的被加工物的局部放大截面图。图6是在图1所示的蚀刻方法的执行过程中含硅膜被部分蚀刻的状态的被加工物的局部放大截面图。图7是应用图1所示的蚀刻方法后的状态的被加工物的局部放大截面图。
在方法MT中,执行步骤ST1。在步骤ST1中,在被加工物W上形成钨膜WF。在步骤ST1中,为了形成钨膜WF,执行一次以上的循环CY。各循环CY包括步骤ST11和步骤ST13。在步骤ST1中多次执行循环CY时,交替执行步骤ST11和步骤ST13。在一个实施方式中,各循环CY包括在步骤ST11和步骤ST13之间执行的步骤ST12。另外,各循环CY包括在步骤ST13后执行的步骤ST14。
在步骤ST11中,为了在被加工物W上沉积含钨的前体,而向被加工物W供给前体气体。即,向腔室主体12的内部空间12s供给前体气体。前体气体包含钨。前体气体可以是卤化钨气体。作为一例,前体气体是六氟化钨(WF6)气体。前体气体也可以是六氯化钨气体之类的其他卤化钨气体或别的含钨气体。在步骤ST11中,不生成等离子体。即,在步骤ST11中,停止第一高频和第二高频的供给。
在步骤ST11中,与前体气体一起可以向内部空间12s供给载气。载气可以是He气体、Ne气体、Ar气体、Xe气体、Kr气体之类的稀有气体。在一个实施方式中,如图4所示,载气可以在步骤ST1的执行期间供给到内部空间12s。在步骤ST11中,前体气体的流量可以设定为100sccm以上、300sccm以下的流量。在步骤ST11中,载气的流量可以设定为0sccm以上、3000sccm以下的流量。另外,在步骤ST11中,内部空间12s的压力可以设定为0.02Torr(2.6Pa)以上、3Torr(400Pa)以下的压力。
接着,在步骤ST12中,执行内部空间12s的吹扫。具体而言,在步骤ST12中,执行内部空间12s的排气。在步骤ST12中,作为吹扫气体,可以向内部空间12s供给载气。通过执行步骤ST12,内部空间12s中的前体气体被排出,过剩地沉积在被加工物W上的前体被除去。
接着,在步骤ST13中,为了向被加工物W上的前体供给氢的活性种,而在内部空间12s中生成氢气(H2气体)的等离子体。在步骤ST13中,为了生成氢气的等离子体,如图4所示,在执行步骤ST12后且在执行步骤ST13前,开始向内部空间12s供给氢气。从开始供给氢气起经过规定时间后,开始执行步骤ST13。氢气的供给持续至步骤ST13结束时。当氢气供给至内部空间12s时,还可以向内部空间12s供给载气。
在步骤ST13中,在向内部空间12s供给氢气的状态下,第一高频被供给至下部电极18(或上部电极30)。由此,在内部空间12s中生成氢气的等离子体。在步骤ST13中,第二高频也可以被供给至下部电极18。在步骤ST13中,利用来自等离子体的氢气的活性种,即氢的离子和/或自由基,除去前体中的杂质。当前体气体为卤化钨气体时,通过前体中的卤素元素与氢的反应,从前体除去卤素元素。
在步骤ST13中,氢气的流量可以设定为100sccm以上、3000sccm以下的流量。在步骤ST13中,载气的流量可以设定为0sccm以上、3000sccm以下的流量。在步骤ST13中,内部空间12s的压力可以设定为0.02Torr(2.6Pa)以上、3Torr(400Pa)以下的压力。在步骤ST13中,第一高频的电功率可以设定为20W以上、3000W以下的电功率。另外,在步骤ST13中,第二高频的电功率可以设定为0W以上、200W以下的电功率。
接着,在步骤ST14中,执行内部空间12s的吹扫。具体而言,在步骤ST14中,执行内部空间12s的排气。在步骤ST14中,作为吹扫气体,可以向内部空间12s供给载气。通过执行步骤ST14,内部空间12s中的氢气被排出。
接着,在步骤ST2中,判断是否满足停止条件。当循环CY的执行次数达到规定次数时,判定为满足停止条件。规定次数为一次以上的次数。在步骤ST2中当判定为不满足停止条件时,再次执行循环CY。另一方面,在ST2中当判定为满足停止条件时,停止步骤ST1的执行。通过执行步骤ST1,在被加工物W的表面上,特别是在掩模MK的表面上形成钨膜WF(参照图5)。
在一个实施方式中,步骤ST1的执行中的被加工物W的温度被设定为0℃以下的温度。在进一步的实施方式中,执行步骤ST1时的被加工物W的温度被设定为-20℃以下的温度。被加工物W的温度通过调整供给至流路18f的制冷剂的温度而进行控制。
当停止执行步骤ST1时,处理转至步骤ST3。在步骤ST3中,为了对含硅膜SF进行蚀刻,在腔室主体12内生成处理气体的等离子体。处理气体包括氟、氢和碳。处理气体包括H2气体、CxHy气体(碳氢化合物气体)和CxHyFz气体(氢氟碳化合物气体)中一种以上的气体,作为含氢的气体。另外,处理气体包括含氟气体。含氟气体包括HF气体、NF3气体、SF6气体、WF6气体、CxHy气体(氟碳化合物气体)和CxHyFz气体中一种以上的气体。处理气体包括CxHy气体(碳氢化合物气体)和CxHyFz气体(氢氟碳化合物气体)中一种以上的气体,作为含碳的气体。此处,x、y、z为自然数。另外,处理气体还可以进一步包括HBr气体之类的含卤素气体。另外,处理气体也可以包含O2气体、CO气体、CO2气体之类的含氧气体。在一个实施方式中,处理气体是包含氢气、氢氟碳化合物气体和含氟气体的混合气体。
在步骤ST3中,在向内部空间12s供给处理气体的状态下,第一高频被供给至下部电极18(或上部电极30)。由此,在内部空间12s中生成处理气体的等离子体。在步骤ST3中,第二高频被供给至下部电极18。在步骤ST3中,利用来自等离子体的氟的活性种,含硅膜SF在从掩模MK露出的地方被蚀刻。结果是,在含硅膜SF中形成开口OP(参照图6)。
在步骤ST3中,内部空间12s的压力可以设定为0.005Torr(0.7Pa)以上、0.1Torr(13.3Pa)以下的压力。在步骤ST3中,第一高频的功率可以设定为500W以上、6000W以下的功率。另外,在步骤ST3中,第二高频的功率可以设定为0W以上、15000W以下的功率。
在一个实施方式的步骤ST3中,被加工物W的温度被设定为与执行步骤ST1时的被加工物W的温度大致相同的温度。在一个实施方式中,执行步骤ST3时的被加工物W的温度被设定为0℃以下的温度。在进一步的实施方式中,执行步骤ST3时的被加工物W的温度被设定为-20℃以下的温度。被加工物W的温度通过调整供给至流路18f的制冷剂的温度而进行控制。
接着,在步骤ST4中,判断是否结束方法MT的执行。在步骤ST4中,当包括步骤ST1和步骤ST3的循环的执行次数达到规定次数时,判定为须结束方法MT。规定次数为一次以上的次数。在步骤ST4中当判定为不结束方法MT的执行时,再次执行包括步骤ST1和步骤ST3的循环。另一方面,当结束方法MT的执行时,被加工物W例如成为图7所示的状态。在图7所示的状态下,延伸至衬底层UL的表面的开口OP形成在含硅膜SF。另外,在图7中,省略了钨膜WF。
在方法MT中,如上所述,在掩模MK上形成钨膜WF。因此,在步骤ST3的蚀刻过程中,掩模MK被具有比含碳物质更优异的蚀刻耐性的材料保护。但是,在通过不利用等离子体的原子层沉积法形成钨膜时,为了发生用于除去前体中的杂质的反应,被加工物的温度通常被设定为250℃以上的温度。另一方面,在方法MT中,利用来自氢气的等离子体的氢的活性种除去前体中的杂质形成钨膜WF,所以执行步骤ST1时的被加工物W的温度可以设定为低的温度。此处,被加工物W的温度越低,含硅膜SF的蚀刻速率越高。因此,在方法MT中,通过将执行步骤ST1时的被加工物的温度和执行步骤ST3时的被加工物的温度被设定为较低的温度,能够使执行步骤ST1时的被加工物的温度和执行步骤ST3时的被加工物的温度之差减少。所以,在步骤ST1和步骤ST3之间,无需改变被加工物W的温度,或者能够缩短改变被加工物W的温度的时间。结果是,能够提高包括形成钨膜WF和蚀刻含硅膜SF在内的处理的流率(throughput)。
在一个实施方式中,执行多次包括步骤ST1和步骤ST3的循环。即,交替反复执行步骤ST1和步骤ST3。根据该实施方式,能够一边在掩模MK上补充钨,一边进行含硅膜SF的蚀刻。另外,随着含硅膜SF的蚀刻的进行,掩模MK的膜厚减少时,直接在掩模MK之下的含硅膜SF的侧壁面上也能够形成含钨膜WF。结果是,能够抑制直接在掩模MK之下的含硅膜SF的横向的蚀刻。
以上,对各种实施方式进行了说明,但不限于上述实施方式,也可以构成各种变形方式。例如,方法MT也可以利用电感耦合型的等离子体处理装置、用微波之类的表面波生成等离子体的等离子体处理装置之类的任意的等离子体处理装置执行。另外,含硅膜SF也可以仅由单一的氧化硅膜构成。
以下,对为了评价方法MT而进行的实验进行说明。另外,本公开的内容不限于以下说明的实验。
(第一实验)
在第一实验中,对多个样品的氧化硅膜进行了蚀刻。在第一实验中,多个样品的氧化硅膜的蚀刻时的温度被设定为互不相同的温度。各样品具有衬底层和在该衬底的平坦的表面上以一致的膜厚形成的氧化硅膜。氧化硅膜的蚀刻使用了等离子体处理装置10。第一实验中的蚀刻条件如下。
<第一实验中的蚀刻条件>
内部空间12s的压力:25mTorr(3,333Pa)
第一高频:100MHz、2.3kW
第二高频:3MHz、1kW
处理气体:H2气体、CF4气体、CH2F2气体和NF3气体的混合气体
在第一实验中,对各样品,根据蚀刻时间和氧化硅膜的膜厚的减少量求出了氧化硅膜的蚀刻速率。并且,求出了氧化硅膜的蚀刻时的温度与氧化硅膜的蚀刻速率之间的关系。图8表示第一实验的结果。在图8中,横轴表示样品的温度,纵轴表示蚀刻速率。如图8所示,氧化硅膜的蚀刻速率在样品的温度为0℃以下的温度时,相当大。另外,样品的温度越低,氧化硅膜的蚀刻速率越高。根据该第一实验,已确认,通过将被加工物的温度被设定为0℃以下的温度,能够得到含硅膜的高蚀刻速率。另外,已确认:被加工物的温度越低,含硅膜的蚀刻速率越高。
(第二实验)
在第二实验中,通过执行步骤ST1,在多个样品上形成了钨膜WF。在第二实验中,将执行步骤ST1时的多个样品的温度设定成了互不相同的温度。各样品具有衬底层和设置在该衬底层上的掩模EMK。掩模EMK是无定形碳制的掩模,具有线与空间图案(line and spacepattern)。在步骤ST1的执行中使用了等离子体处理装置10。第二实验的步骤ST1的条件如下。
<第二实验中步骤ST1的条件>
步骤ST11
内部空间12s的压力:800mTorr(107Pa)
WF6气体的流量:170sccm
载气(Ar气体)的流量:600sccm
处理时间:10秒
步骤ST12
内部空间12s的压力:800mTorr(107Pa)
载气(Ar气体)的流量:800sccm
处理时间:30秒
步骤ST13
内部空间12s的压力:800mTorr(107Pa)
H2气体的流量:500sccm
载气(Ar气体)的流量:600sccm
第一高频100MHz、500W
第二高频:0W
处理时间:3秒
步骤ST14
内部空间12s的压力:800mTorr(107Pa)
载气(Ar气体)的流量:800sccm
处理时间:30秒
循环CY的执行次数:30次
图9是表示在第二实验中测量的尺寸的图。在第二实验中,分别求出了形成在各样品上的钨膜WF的膜厚Fta、FTb、FTc、FTd。膜厚Fta是掩模EMK的上表面上的钨膜WF的膜厚。膜厚FTb是包括掩模EMK的上表面的横截面上的钨膜WF的横向的膜厚。膜厚FTc是由掩模EMK和钨膜WF提供的空间MS的宽度最小的横截面上的钨膜WF的横向的膜厚。膜厚FTd是距掩模EMK的上表面向下方具有150nm的距离的横截面上的钨膜WF的膜厚。图10表示第二实验结果。图10中,横轴表示执行步骤ST1时的样品的温度,纵轴表示钨膜的膜厚。如图10所示,根据步骤ST1,已确认:当样品的温度为20℃以下的温度时,能够在掩模EMK上形成钨膜。即,根据步骤ST1,已确认:在被加工物的温度被设定为远低于通过不利用等离子体的原子层沉积法形成钨膜时的被加工物的温度(通常250℃以上)的温度的状态下,能够在该被加工物上形成钨膜。另外,已确认:在被加工物的温度被设定为-20℃以下的温度的状态下,能够形成具有大的膜厚的钨膜。根据以上说明的第一实验和第二实验的结果已确认,执行方法MT的步骤ST1和步骤ST3时的被加工物W的温度优选为0℃以下,更优选为-20℃以下。

Claims (7)

1.一种含硅膜的蚀刻方法,其特征在于:
所述含硅膜具有单一的氧化硅膜或者交替层叠的多个氧化硅膜和多个氮化硅膜,
所述蚀刻方法在具有所述含硅膜的被加工物配置在等离子体处理装置的腔室主体内的状态下执行,
所述被加工物还具有设置在所述含硅膜上的掩模,所述掩模含有碳,在所述掩模形成有开口,
所述蚀刻方法包括:
在所述被加工物上形成钨膜的步骤;和
对所述含硅膜进行蚀刻的步骤,
所述形成钨膜的步骤包括:
为了使含钨的前体沉积在所述被加工物上,而向所述被加工物供给含钨的前体气体的步骤;和
为了向所述被加工物上的所述前体供给氢的活性种,而生成氢气的等离子体的步骤,
在所述对所述含硅膜进行蚀刻的步骤中,为了对所述含硅膜进行蚀刻而在所述腔室主体内生成含氟、氢和碳的处理气体的等离子体。
2.如权利要求1所述的蚀刻方法,其特征在于:
交替反复执行所述形成钨膜的步骤和所述对所述含硅膜进行蚀刻的步骤。
3.如权利要求1或2所述的蚀刻方法,其特征在于:
在所述形成钨膜的步骤中,交替反复执行所述供给前体气体的步骤和所述生成氢气的等离子体的步骤。
4.如权利要求1~3中任一项所述的蚀刻方法,其特征在于:
在所述形成钨膜的步骤和所述对所述含硅膜进行蚀刻的步骤中,所述被加工物的温度被设定为0℃以下的温度。
5.如权利要求4所述的蚀刻方法,其特征在于:
在所述形成钨膜的步骤和所述对所述含硅膜进行蚀刻的步骤中,所述被加工物的温度被设定为-20℃以下的温度。
6.如权利要求1~5中任一项所述的蚀刻方法,其特征在于:
所述前体气体是卤化钨气体。
7.如权利要求6所述的蚀刻方法,其特征在于:
所述前体气体是六氟化钨气体。
CN201811019388.4A 2017-09-04 2018-09-03 蚀刻方法 Active CN109427576B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017169464A JP6883495B2 (ja) 2017-09-04 2017-09-04 エッチング方法
JP2017-169464 2017-09-04

Publications (2)

Publication Number Publication Date
CN109427576A true CN109427576A (zh) 2019-03-05
CN109427576B CN109427576B (zh) 2023-03-10

Family

ID=65514812

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811019388.4A Active CN109427576B (zh) 2017-09-04 2018-09-03 蚀刻方法

Country Status (5)

Country Link
US (1) US10566209B2 (zh)
JP (1) JP6883495B2 (zh)
KR (1) KR102626802B1 (zh)
CN (1) CN109427576B (zh)
TW (1) TWI760555B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111154490A (zh) * 2020-01-02 2020-05-15 长江存储科技有限责任公司 刻蚀气体、刻蚀方法及3d存储器件制造方法
CN112119485A (zh) * 2019-04-22 2020-12-22 株式会社日立高新技术 等离子处理方法以及等离子处理装置
CN116805579A (zh) * 2022-03-24 2023-09-26 东京毅力科创株式会社 等离子体处理方法和等离子体处理***

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10515821B1 (en) 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10741407B2 (en) * 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210072826A (ko) * 2018-11-05 2021-06-17 램 리써치 코포레이션 에칭 챔버의 방향성 증착
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
EP4050641A4 (en) * 2019-11-08 2023-12-13 Tokyo Electron Limited ENGRAVING PROCESS
KR20220099116A (ko) * 2019-11-12 2022-07-12 어플라이드 머티어리얼스, 인코포레이티드 감소된 수소 증착 프로세스들
WO2021118862A2 (en) * 2019-12-13 2021-06-17 Lam Research Corporation Multi-state pulsing for achieving a balance between bow control and mask selectivity
CN115244663A (zh) * 2020-02-28 2022-10-25 朗姆研究公司 高深宽比3d nand蚀刻的侧壁凹陷的减少
TW202232567A (zh) * 2020-10-30 2022-08-16 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置
KR20240003432A (ko) * 2021-05-07 2024-01-09 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JP7099675B1 (ja) 2021-07-27 2022-07-12 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
KR20240033271A (ko) * 2021-07-27 2024-03-12 도쿄엘렉트론가부시키가이샤 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020192960A1 (en) * 2000-08-31 2002-12-19 Larry Hillyer Method and material for removing etch residue from high aspect ratio contact surfaces
US20030013280A1 (en) * 2000-12-08 2003-01-16 Hideo Yamanaka Semiconductor thin film forming method, production methods for semiconductor device and electrooptical device, devices used for these methods, and semiconductor device and electrooptical device
JP2006041486A (ja) * 2004-07-29 2006-02-09 Hynix Semiconductor Inc 非結晶性炭素膜を犠牲ハードマスクとして用いる半導体素子の製造方法
CN1912194A (zh) * 2006-03-20 2007-02-14 中国科学院物理研究所 一种在Si(111)衬底上制备高质量ZnO单晶薄膜的方法
CN101401190A (zh) * 2005-10-26 2009-04-01 夏普株式会社 使用大气压氢等离子体的膜制造方法、精制膜制造方法及装置
JP2010059488A (ja) * 2008-09-03 2010-03-18 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2014532988A (ja) * 2011-10-27 2014-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k及びその他の誘電体膜をエッチングするための処理チャンバ
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
CN105316639A (zh) * 2014-07-29 2016-02-10 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP2016207840A (ja) * 2015-04-22 2016-12-08 東京エレクトロン株式会社 エッチング処理方法
US20170076945A1 (en) * 2015-09-01 2017-03-16 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
JP2017163032A (ja) * 2016-03-10 2017-09-14 東芝メモリ株式会社 半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595608A (en) * 1984-11-09 1986-06-17 Harris Corporation Method for selective deposition of tungsten on silicon
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR100808056B1 (ko) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 하드마스크를 이용한 패턴 형성 방법
JP2012077983A (ja) 2010-09-30 2012-04-19 Daikin Industries Ltd 冷凍回路
US8598040B2 (en) 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
CN103258824B (zh) * 2012-02-20 2016-02-17 中芯国际集成电路制造(上海)有限公司 闪存的存储单元及形成方法
JP2014086500A (ja) * 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10347498B2 (en) * 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020192960A1 (en) * 2000-08-31 2002-12-19 Larry Hillyer Method and material for removing etch residue from high aspect ratio contact surfaces
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20030013280A1 (en) * 2000-12-08 2003-01-16 Hideo Yamanaka Semiconductor thin film forming method, production methods for semiconductor device and electrooptical device, devices used for these methods, and semiconductor device and electrooptical device
JP2006041486A (ja) * 2004-07-29 2006-02-09 Hynix Semiconductor Inc 非結晶性炭素膜を犠牲ハードマスクとして用いる半導体素子の製造方法
CN101401190A (zh) * 2005-10-26 2009-04-01 夏普株式会社 使用大气压氢等离子体的膜制造方法、精制膜制造方法及装置
CN1912194A (zh) * 2006-03-20 2007-02-14 中国科学院物理研究所 一种在Si(111)衬底上制备高质量ZnO单晶薄膜的方法
JP2010059488A (ja) * 2008-09-03 2010-03-18 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2014532988A (ja) * 2011-10-27 2014-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k及びその他の誘電体膜をエッチングするための処理チャンバ
CN105316639A (zh) * 2014-07-29 2016-02-10 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP2016207840A (ja) * 2015-04-22 2016-12-08 東京エレクトロン株式会社 エッチング処理方法
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US20170076945A1 (en) * 2015-09-01 2017-03-16 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
JP2017163032A (ja) * 2016-03-10 2017-09-14 東芝メモリ株式会社 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112119485A (zh) * 2019-04-22 2020-12-22 株式会社日立高新技术 等离子处理方法以及等离子处理装置
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
CN111154490A (zh) * 2020-01-02 2020-05-15 长江存储科技有限责任公司 刻蚀气体、刻蚀方法及3d存储器件制造方法
CN116805579A (zh) * 2022-03-24 2023-09-26 东京毅力科创株式会社 等离子体处理方法和等离子体处理***

Also Published As

Publication number Publication date
CN109427576B (zh) 2023-03-10
JP2019046994A (ja) 2019-03-22
JP6883495B2 (ja) 2021-06-09
US20190074191A1 (en) 2019-03-07
US10566209B2 (en) 2020-02-18
TWI760555B (zh) 2022-04-11
TW201921488A (zh) 2019-06-01
KR20190026589A (ko) 2019-03-13
KR102626802B1 (ko) 2024-01-18

Similar Documents

Publication Publication Date Title
CN109427576A (zh) 蚀刻方法
CN106206286B (zh) 蚀刻方法
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
KR102426264B1 (ko) 에칭 방법
US11658036B2 (en) Apparatus for processing substrate
CN106057666B (zh) 蚀刻方法
TWI697046B (zh) 蝕刻方法
KR102496968B1 (ko) 에칭 방법
TW202133261A (zh) 基板處理方法及電漿處理裝置
CN113594032A (zh) 基板处理方法及等离子体处理装置
JP2020068221A (ja) エッチング方法及びプラズマ処理装置
CN109326517B (zh) 对多层膜进行蚀刻的方法
KR20210035073A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN110164764B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN112838002A (zh) 基板处理方法及等离子体处理装置
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
CN114121641A (zh) 晶片处理方法和等离子体处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant