CN114121641A - 晶片处理方法和等离子体处理装置 - Google Patents

晶片处理方法和等离子体处理装置 Download PDF

Info

Publication number
CN114121641A
CN114121641A CN202110959471.5A CN202110959471A CN114121641A CN 114121641 A CN114121641 A CN 114121641A CN 202110959471 A CN202110959471 A CN 202110959471A CN 114121641 A CN114121641 A CN 114121641A
Authority
CN
China
Prior art keywords
gas
substrate
film
processing method
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110959471.5A
Other languages
English (en)
Inventor
胜沼隆幸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2021006624A external-priority patent/JP2022039910A/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN114121641A publication Critical patent/CN114121641A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供晶片处理方法和等离子体处理装置,其目的在于提供抑制由在基片上形成的膜引起的凹部的开口的闭塞的技术,基片处理方法包含使前驱体吸附于基片的侧壁面的工序(a),侧壁面在基片区划形成凹部,基片处理方法还包含向基片供给第一化学种和第二化学种的工序(b),第一化学种在侧壁面上由前驱体形成膜,第二化学种抑制膜的厚度的增加,工序(a)与工序(b)交替重复。

Description

晶片处理方法和等离子体处理装置
技术领域
本发明的例示的实施方式涉及基片处理方法和等离子体处理装置。
背景技术
等离子体蚀刻用于在基片形成凹部(recess)。在等离子体蚀刻中,寻求抑制凹部横向扩展的技术。因此,使用在区划形成凹部的侧壁面形成膜的技术。这样的技术在专利文献1和2中有所记载。
现有技术文献
专利文献
专利文献1:美国专利申请公开第2016/0343580号说明书
专利文献2:美国专利申请公开第2018/0174858号说明书
发明内容
发明所要解决的问题
本发明提供抑制由在基片上形成的膜引起的凹部的开口的闭塞的技术。
用于解决问题的方式
在一个例示的实施方式中,提供基片处理方法。基片处理方法包含使前驱体吸附于基片的侧壁面的工序(a)。侧壁面在基片区划形成凹部。基片处理方法还包含向基片供给第一化学种和第二化学种的工序(b)。第一化学种在侧壁面上由前驱体形成膜,第二化学种抑制膜的厚度的增加。工序(a)与工序(b)交替重复。
发明的效果
根据一个例示的实施方式,由在基片上形成的膜引起的凹部的开口的闭塞受到抑制。
附图说明
图1是一个例示的实施方式所涉及的基片处理方法的流程图。
图2是一个例子的基片的部分放大截面图。
图3是概略地表示一个例示的实施方式所涉及的等离子体处理装置的图。
图4是应用图1所示的基片处理方法的工序ST2之后的状态的一个例子的基片的部分放大截面图。
图5是与图1所示的基片处理方法相关联的一个例子的时序图。
图6是与图1所示的基片处理方法相关联的另一个例子的时序图。
图7是图1所示的基片处理方法中、在侧壁面上形成有膜的状态的一个例子的基片的部分放大截面图。
图8是应用图1所示的基片处理方法之后的状态的一个例子的基片的部分放大截面图。
图9是图1所示的基片处理方法中、应用工序STa和工序STb的交替重复之前的状态的一个例子的基片的部分放大截面图。
图10是概略地表示一个例示的实施方式所涉及的基片处理***的图。
图11(a)、图11(b)、图11(c)、图11(d)分别是表示以第1~第4实验求得的ΔCD的深度方向的分布的曲线图。
图12(a)、图12(b)、图12(c)分别是表示以第5~第7实验求得的ΔCD的深度方向的分布的曲线图。
图13(a)、图13(b)、图13(c)分别是表示以第8~第10实验求得的ΔCD的深度方向的分布的曲线图。
具体实施方式
以下,说明各种例示的实施方式。
在一个例示的实施方式中,提供基片处理方法。基片处理方法包含使前驱体吸附于基片的侧壁面的工序(a)。侧壁面在基片区划形成凹部。基片处理方法还包含向基片供给第一化学种和第二化学种的工序(b)。第一化学种在侧壁面上由前驱体形成膜,第二化学种抑制膜的厚度的增加。工序(a)与工序(b)交替重复。
在上述实施方式中,通过前驱体与第一化学种的反应在侧壁面上形成膜。第二化学种特别是在凹部的开口的部位抑制膜的厚度的增加。因此,根据上述实施方式,由在基片上形成的膜引起的凹部的开口的闭塞受到抑制。
在一个例示的实施方式中,第二化学种也可以为卤素化学种。第二化学种也可以为氟化学种。
在一个例示的实施方式中,第二化学种也可以由碳氟化合物、氢氟碳化合物、三氟化氮和六氟化硫中至少一种生成。
在一个例示的实施方式中,前驱体也可以含有硅。
在一个例示的实施方式中,也可以在工序(b)中对支承基片的基片支承器的下部电极赋予电偏压。根据该实施方式,形成膜的凹部内的深度方向的位置能够通过电偏压的大小设定。
在一个例示的实施方式中,也可以在工序(a)与工序(b)的交替重复中变更电偏压的大小。根据该实施方式,能够在工序(a)与工序(b)的交替重复中,变更形成膜的凹部内的深度方向的位置。
在一个例示的实施方式中,基片处理方法也可以在工序(a)与工序(b)的交替重复之后,还包含以使凹部的深度增加的方式蚀刻基片的工序(c)。
在一个例示的实施方式中,也可以在依次执行分别包含工序(a)与工序(b)的交替重复以及工序(c)的第一循环和第二循环。也可以使得在第一循环内的工序(b)赋予支承基片的基片支承器的下部电极的电偏压的大小与第二循环内的工序(b)赋予该下部电极的该电偏压的大小不同。
在一个例示的实施方式中,第二循环内的工序(b)中使用的电偏压的大小也可以比第一循环内的工序(b)中使用的电偏压的大小大。根据该实施方式,能够根据凹部的深度在凹部内的更深的部位形成膜。
在一个例示的实施方式中,提供等离子体处理装置。等离子体处理装置包括腔室、气体供给部、等离子体生成部和控制部。气体供给部以向腔室内供给前驱体气体、第一气体和第二气体的方式构成。等离子体生成部以由第一气体和第二气体生成等离子体的方式构成。控制部以向(a)腔室内供给前驱体气体的方式控制气体供给部。通过(a)的控制,前驱体气体中含有的前驱体吸附在基片的侧壁面。控制部以在(b)腔室内由第一气体和第二气体生成等离子体的方式控制气体供给部和等离子体生成部。通过(b)的控制,在侧壁面上由前驱体形成膜的第一化学种由第一气体生成,抑制该膜的厚度的增加的第二化学种由第二气体生成。控制部以交替重复(a)的控制与(b)的控制的方式构成。
以下,参照附图详细地说明各种例示的实施方式。另外,对各附图中相同或相当的部分标注相同的附图标记。
图1是一个例示的实施方式所涉及的基片处理方法的流程图。图1所示的基片处理方法(以下,称为“方法MT”)为了在基片区划形成凹部的侧壁面上形成膜而进行。方法MT也可以进一步在膜的形成后包含蚀刻基片的过程。
图2是一个例子的基片的部分放大截面图。方法MT能够应用于图2所示的基片W。基片W具有膜EF和掩模MK。基片W也可以进一步具有基底区域UR。膜EF也可以设置在基底区域UR上。
膜EF是蚀刻膜(Etch Film),在方法MT中被蚀刻。膜EF例如是含硅膜。含硅膜也可以为单层膜或多层膜。单层膜例如为硅氧化膜、硅氮化膜、多晶硅膜或含硅低介电常数膜。多层膜由硅氧化膜、硅氮化膜和多晶硅膜中二个以上形成。膜EF也可以以其它材料形成。
掩模MK设置在膜EF上。掩模MK只要是对掩模MK有选择地蚀刻膜EF,就能够由任意的材料形成。掩模MK例如由光致抗蚀剂膜、非晶碳膜或旋涂碳膜那样的有机膜、含硅膜或含金属膜形成。掩模MK具有转印于膜EF的图案。即,掩模MK提供凹部OP。
在一个实施方式中,方法MT使用等离子体处理装置执行。图3是概略地表示一个例示的实施方式所涉及的等离子体处理装置的图。方法MT能够使用图3所示的等离子体处理装置1执行。等离子体处理装置1是电容耦合型的等离子体处理装置。等离子体处理装置1设有腔室10。腔室10在其中提供内部空间10s。
在一个实施方式中,腔室10也可以包含腔室主体12。腔室主体12具有大致圆筒形状。内部空间10s在腔室主体12的内侧提供。腔室主体12由铝一类的导体形成。腔室主体12接地。在腔室主体12的内壁面上,设置有具有耐腐蚀性的膜。具有耐腐蚀性的膜能够为由氧化铝、氧化钇一类的陶瓷形成的膜。
腔室主体12的侧壁提供通路12p。基片W在内部空间10s与腔室10的外部之间被运送时,在通路12p通过。通路12p能够由闸阀12g开闭。闸阀12g沿腔室主体12的侧壁设置。
等离子体处理装置1还设有基片支承器14。基片支承器14以在腔室10内即内部空间10s中,支承基片W的方式构成。基片支承器14设置在腔室10内。基片支承器14也可以由支承部13支承。支承部13由绝缘材料形成。支承部13具有大致圆筒形状。支承部13在内部空间10s中,从腔室主体12的底部向上方延伸。
在一个实施方式中,基片支承器14也可以具有下部电极18和静电吸盘20。基片支承器14也可以进一步具有电极板16。电极板16由铝一类的导体形成,具有大致圆盘形状。下部电极18设置在电极板16上。下部电极18由铝一类的导体形成,具有大致圆盘形状。下部电极18与电极板16电连接。
静电吸盘20设置在下部电极18上。基片W载置在静电吸盘20的上表面上。静电吸盘20具有由电介质形成的主体。静电吸盘20的主体具有大致圆盘形状。静电吸盘20进一步具有电极20e。电极20e设置在静电吸盘20的主体中。电极20e为膜状的电极。电极20e经由开关20s与直流电源20p连接。当向静电吸盘20的电极施加来自直流电源20p的电压时,在静电吸盘20与基片W之间产生静电引力。由于所产生的静电引力,基片W被吸引向静电吸盘20,被静电吸盘20保持。
基片支承器14也可以支承配置于其上的边环ER。边环ER能够由硅、碳化硅或石英形成,不过并没有限定。当在腔室10内进行基片W的处理时,基片W在静电吸盘20上、且被边环ER围成的区域内配置。
下部电极18在其内部提供流路18f。流路18f接受从制冷单元22经由配管22a供给的热交换介质(例如制冷剂)。制冷单元22设置在腔室10的外部。供给给流路18f的热交换介质经由配管22b返回制冷单元22。在等离子体处理装置1,载置在静电吸盘20上的基片W的温度通过热交换介质与下部电极18的热交换被。
基片W的温度也可以通过设置在基片支承器14中的一个以上加热器调整。在图3所示的例子中,多个加热器HT设置在静电吸盘20中。多个加热器HT各自能够为电阻加热元件。多个加热器HT与加热器控制器HC连接。加热器控制器HC以向多个加热器HT分别供给调整后的量的电力的方式构成。
等离子体处理装置1也可以进一步包括气体供给线24。气体供给线24将传热气体(例如He气体)供给至静电吸盘20的上表面与基片W的背面之间的间隙。传热气体从传热气体供给机构供给至气体供给线24。
等离子体处理装置1还设有上部电极30。上部电极30设置在基片支承器14的上方。上部电极30借助部件32支承于腔室主体12的上部。部件32由具有绝缘性的材料形成。上部电极30和部件32关闭腔室主体12的上部开口。
上部电极30能够包括顶板34和支承体36。顶板34的下表面是内部空间10s的一侧的下表面,区划形成内部空间10s。顶板34能够由含硅材料形成。顶板34例如由硅或碳化硅形成。顶板34提供多个气体孔34a。多个气体孔34a在顶板34的板厚方向上贯通该顶板34。
支承体36拆卸自如地支承顶板34。支承体36由铝一类的导电性材料形成。支承体36在其内部提供气体扩散室36a。支承体36进一步提供多个气体孔36b。多个气体孔36b从气体扩散室36a向下方延伸。多个气体孔36b与多个气体孔34a分别连通。支承体36进一步提供气体导入口36c。气体导入口36c与气体扩散室36a连接。在气体导入口36c连接有气体供给管38。
在气体供给管38,经由阀门组41、流量控制器组42和阀门组43连接有气源组40。气源组40、阀门组41、流量控制器组42和阀门组43构成气体供给部GS。气源组40包含多个气源。气源组40的多个气源包含方法MT中利用的多个气体的来源。阀门组41和阀门组43分别包含多个开闭阀。流量控制器组42包含多个流量控制器。流量控制器组42的多个流量控制器分别为质量流量控制器或压力控制式的流量控制器。气源组40的多个气源分别基于阀门组41的对应的开闭阀、流量控制器组42的对应的流量控制器和阀门组43的对应的开闭阀,与气体供给管38连接。
等离子体处理装置1也可以进一步包括遮护部件46。遮护部件46沿腔室主体12的内壁面拆卸自如地设置。遮护部件46在支承部13的外周也设置。遮护部件46防止在腔室主体12附着等离子体处理的副生物。遮护部件46例如通过在由铝形成的部件的表面形成具有耐腐蚀性的膜而构成。具有耐腐蚀性的膜能够为由氧化钇一类的陶瓷形成的膜。
等离子体处理装置1也可以进一步包括挡板部件48。挡板部件48设置在支承部13与腔室主体12的侧壁之间。挡板部件48例如通过在由铝形成的板状部件的表面形成具有耐腐蚀性的膜而构成。具有耐腐蚀性的膜能够为由氧化钇一类的陶瓷形成的膜。挡板部件48提供多个贯通孔。在挡板部件48的下方、且腔室主体12的底部,设置有排气口12e。在排气口12e,经由排气管52连接有排气装置50。排气装置50具有压力调整阀和涡轮分子泵一类的真空泵。
等离子体处理装置1进一步包括高频电源62和偏置电源64。高频电源62以产生高频电力(以下,称为“高频电力HF”)的方式构成。高频电力HF具有适合于等离子体的生成的频率。高频电力HF的频率例如为27MHz以上,100MHz以下。高频电源62经由匹配器66与上部电极30连接。匹配器66具有用于使高频电源62的负载侧(上部电极30侧)的阻抗与高频电源62的输出阻抗匹配的电路。高频电源62在一个实施方式中能够构成等离子体生成部。另外,高频电源62也可以经由匹配器66与下部电极18连接。
偏置电源64以向下部电极18赋予电偏压EB的方式构成。电偏压EB具有适合于向基片W吸引离子的频率。电偏压EB的频率例如为100kHz以上,40.68MHz以下。在电偏压EB与高频电力HF共同使用的情况下,电偏压EB具有比高频电力HF的频率低的频率。
在一个实施方式中,电偏压EB也可以为高频偏置电力(以下,称为“高频电力LF”)。在本实施方式,偏置电源64经由匹配器68和电极板16与下部电极18连接。匹配器68具有用于使偏置电源64的负载侧(下部电极18侧)的阻抗与偏置电源64的输出阻抗匹配的电路。另外,等离子体处理装置1也可以以仅使用高频电力LF生成等离子体的方式构成。在这种情况下,偏置电源64构成一个实施方式的等离子体生成部。在这种情况下,等离子体处理装置1也可以不设高频电源62和匹配器66。
在一个实施方式中,电偏压EB也可以为负的直流电压的脉冲。在本实施方式中,负的直流电压的脉冲周期性地向下部电极18施加。
等离子体处理装置1进一步包括控制部80。控制部80能够为包括处理器、存储器一类的存储部、输入装置、显示装置、信号的输入输出接口等的计算机。控制部80控制等离子体处理装置1的各部。在控制部80,操作员能够为了管理等离子体处理装置1而使用输入装置进行指令的输入操作等。此外,在控制部80,能够利用显示装置,可视化地显示等离子体处理装置1的运行状况。进一步,在控制部80的存储部,储存有控制程序和技术方案数据。控制程序由控制部80的处理器为了在等离子体处理装置1执行各种处理而执行。通过控制部80的处理器执行控制程序,按照技术方案数据控制等离子体处理装置1的各部,方法MT的至少一部分工序或全部工序由等离子体处理装置1执行。
再次参照图1,详细地说明方法MT。以下,以使用等离子体处理装置1对图2所示的基片W应用方法MT的情况为例,说明方法MT。此外,还说明控制部80对等离子体处理装置1的各部的控制。此外,在以下的说明中,不仅参照图1,而且还参照图4、图5、图6、图7和图8。图4是应用图1所示的基片处理方法的工序ST2之后的状态的一个例子的基片的部分放大截面图。图5是与图1所示的基片处理方法相关联的一个例子的时序图。图6是与图1所示的基片处理方法相关联的另一个例子的时序图。图7是图1所示的基片处理方法中在侧壁面上形成有膜的状态的一个例子的基片的部分放大截面图。图8是应用图1所示的基片处理方法之后的状态的一个例子的基片的部分放大截面图。
另外,在图5和图6各图中,前驱体气体的“ON”表示在向腔室10内供给前驱体气体,前驱体气体的“OFF”表示不向腔室10内供给前驱体气体。此外,第一气体的“ON”表示在向腔室10内供给第一气体,第一气体的“OFF”表示不向腔室10内供给第一气体。此外,第二气体的“ON”表示在向腔室10内供给第二气体,第二气体的“OFF”表示不向腔室10内供给第二气体。此外,高频电力HF的“ON”表示在供给高频电力HF,高频电力HF的“OFF”表示不供给高频电力HF。此外,电偏压EB的“ON”表示对下部电极18赋予电偏压EB,电偏压EB的“OFF”表示不对下部电极18赋予电偏压EB。
如图1所示,方法MT在工序ST1开始。在工序ST1,准备基片W。基片W在腔室10内载置在基片支承器14上,被静电吸盘20保持。
方法MT也可以进一步包含工序ST2。在工序ST2,如图4所示那样,蚀刻基片W的膜EF。即,膜EF在其膜厚方向上部分地蚀刻至其上表面与下表面之间的位置。
在工序ST2,向腔室10内供给蚀刻气体。蚀刻气体根据膜EF的膜種选择。在膜EF为含硅膜的情况下,蚀刻气体含有碳氟化合物气体、氢氟碳化合物气体、含卤素气体等中的一种以上。含卤素气体含有HBr、Cl2等中的一种以上。蚀刻气体也可以进一步含有稀有气体。在工序ST2,在腔室10内由蚀刻气体生成等离子体。膜EF利用来自等离子体的化学种蚀刻。通过工序ST2的蚀刻,凹部OP的深度增加至膜EF的内部的位置。此外,通过工序ST2的蚀刻,膜EF与掩模MK一起提供区划形成凹部OP的侧壁面SS。
为了进行工序ST2,控制部80以向腔室10内供给蚀刻气体的方式控制气体供给部GS。此外,控制部80以将腔室10内的气体的压力设定为所指定的压力的方式,控制排气装置50。此外,控制部80以由蚀刻气体生成等离子体的方式控制等离子体生成部。在等离子体处理装置1,控制部80以供给高频电力HF和/或电偏压EB的方式控制高频电源62和/或偏置电源64。
另外,方法MT也可以不包含工序ST2。在这种情况下,图4所示的基片W在工序ST1、在腔室10内载置在基片支承器14上,被静电吸盘20保持。
如图1、图5和图6所示,方法MT进一步包含工序STa和工序STb。工序STa与工序STb交替重复。即,多次执行包含工序STa和工序STb的循环CYA。工序STa与工序STb的交替重复如图7所示那样,为了在侧壁面SS上形成膜PF而进行。膜PF能够在后述的工序STc的蚀刻中,作为保护侧壁面SS的保护膜发挥作用。
在工序STa,向腔室10内供给前驱体气体。前驱体气体包含由之形成膜PF的前驱体。在工序ST2,前驱体吸附在基片W的表面。基片W的表面包含侧壁面SS。前驱体气体由膜PF的材料选择。前驱体气体例如为氨基硅烷气体那样的含硅气体。
在工序STa,前驱体也可以吸附在基片W的整个表面。或者,也可以在工序STa,吸附在基片W的表面的前驱体的量根据基片W的表面上的位置而变动。例如,吸附在基片W的表面的前驱体的量也可以具有随着在基片W内的深度方向的位置的增加、吸附在基片W的表面的前驱体的量减少那样的分布。在后者的情况下,在工序STa,满足(1)~(5)的条件中至少一个条件。在(1)的条件下,工序STa的执行中的腔室10的中的气体的压力设定为比其它处理条件相同的情况下前驱体吸附在基片W的整个表面的压力低的压力。在(2)的条件下,工序STa的处理时间设定为比其它处理条件相同的情况下前驱体吸附在基片W的整个表面的处理时间短的时间。在(3)的条件下,前驱体气体的稀释度设定为比其它处理条件相同的情况下前驱体吸附在基片W的整个表面的稀释度高的值。在(4)的条件下,工序STa的执行中的基片支承器14的温度设定为其它处理条件相同的情况下前驱体吸附在基片W的整个表面的温度低的温度。(5)的条件能够在在工序STa生成等离子体利用应用。在(5)的条件下,高频电力(高频电力HF和/或电偏压EB)的绝对值设定为其它处理条件相同的情况下前驱体吸附在基片W的整个表面的绝对值小的值。
为了进行工序STa,控制部80以向腔室10内供给前驱体气体的方式控制气体供给部GS。此外,控制部80以将腔室10内的气体的压力设定为所指定的压力的方式控制排气装置50。在工序STa,控制部80也可以以由前驱体气体生成等离子体的方式控制等离子体生成部。在等离子体处理装置1,控制部80也可以以供给高频电力HF和/或电偏压EB的方式控制高频电源62和/或偏置电源64。
也可以如图1和图5所示那样,方法MT进一步包含工序STP1。工序STP1在工序STa与工序STb之间执行。在工序STP1,进行腔室10的内部空间10s的清洁。在工序STP1,被排气装置50控制部80控制,排出腔室10内的气体。另外,在工序STP1,能够除去基片W的表面上的过剩的前驱体和未吸附在基片W的气相中的前驱体。另外,也可以如图6所示那样,方法MT不包含工序STP1。
在工序STb,向基片W供给第一化学种和第二化学种。第一化学种在侧壁面SS上由前驱体形成膜PF。第一化学种通过由第一气体生成等离子体而生成。第一气体例如为O2气体那样的含氧气体。在第一气体为含氧气体的情况下,作为第一化学种,形成氧的离子和/或自由基。在第一气体为含氧气体,前驱体含有硅的情况下,作为膜PF,形成硅氧化膜。
在工序STb,第二化学种抑制膜PF的厚度的增加。第二化学种通过蚀刻膜PF和/或前驱体或者阻碍在基片W的表面上的膜PF的形成,抑制膜PF的厚度的增加。第二化学种通过由第二气体生成等离子体而生成。第二化学种也可以为卤素化学种。第二化学种例如是氟化学种。在第二化学种为氟化学种的情况下,第二气体含有CF4等那样的碳氟化合物、氢氟碳化合物、三氟化氮(NF3)和六氟化硫(SF6)中至少一种。
在工序STb,也可以在基片W的表面上使得前驱体与第一化学种的反应均等地产生。或者,也可以在工序STb,满足(1)~(5)的条件中至少一个条件。在(1)的条件下,工序STb的执行中的腔室10的中的气体的压力设定为比其它处理条件相同的情况下完成第一化学种与基片W的表面上的所有前驱体的反应的压力低的压力。在(2)的条件下,工序STb的处理时间设定为比其它处理条件相同的情况下完成第一化学种与基片W的表面上的所有前驱体的反应的处理时间短的时间。在(3)的条件下,第一气体的稀释度设定为比其它处理条件相同的情况下完成第一化学种与基片W的表面上的所有前驱体的反应的稀释度高的值。在(4)的条件下,工序STb的执行中的基片支承器14的温度设定为比其它处理条件相同的情况下完成第一化学种与基片W的表面上的所有前驱体的反应的温度低的温度。在(5)的条件下,高频电力(高频电力HF和/或高频电力LF)的绝对值设定为比其它处理条件相同的情况下完成第一化学种与基片W的表面上的所有前驱体的反应的绝对值小的值。
为了进行工序STb,控制部80以向腔室10内供给第一气体和第二气体的方式控制气体供给部GS。相对于第一气体的流量与第二气体的流量的和的、第二气体的流量的比例能够为10%以上,90%以下。此外,控制部80以将腔室10内的气体的压力设定为所指定的压力的方式控制排气装置50。此外,控制部80以由第一气体和第二气体生成等离子体的方式控制等离子体生成部。在等离子体处理装置1,控制部80以供给高频电力HF和/或电偏压EB的方式控制高频电源62和/或偏置电源64。在利用高频电力HF的情况下,其电力等级能够为100W以上。在利用高频电力LF的情况下,其电力等级能够大于0W,为1000W以下。在利用电偏压EB的情况下,也可以在工序STb间歇性或周期性地供给脉冲状的电偏压EB。
也可以如图1和图5所示那样,方法MT进一步包含工序STP2。工序STP2在工序STb与工序STa之间执行。工序STP2是与工序STP1同样的工序。另外,也可以如图6所示那样,方法MT不包含工序STP2。
在工序STJ1,判定是否满足停止条件。停止条件例如在工序STa与工序STb的交替重复的次数(循环CYA的次数)达到规定次数的情况下满足。当在工序STJ1判定不满足停止条件时,再次执行自工序Sta起的处理。另一方面,当在工序STJ1判定满足了停止条件时,能够将处理过渡至工序STc。
在工序STc,为了使凹部OP的深度增加而进一步蚀刻膜EF。在工序STc,向腔室10内供给蚀刻气体。蚀刻气体能够为和与工序ST2相关联的上述的蚀刻气体同样的气体。在工序STc,在腔室10内由蚀刻气体生成等离子体。膜EF由来自等离子体的化学种蚀刻。
为了进行工序STc,控制部80以向腔室10内供给蚀刻气体的方式控制气体供给部GS。此外,控制部80以将腔室10内的气体的压力设定为所指定的压力的方式控制排气装置50。此外,控制部80以由蚀刻气体生成等离子体的方式控制等离子体生成部。在等离子体处理装置1,控制部80以供给高频电力HF和/或电偏压EB的方式控制高频电源62和/或偏置电源64。
方法MT也可以在执行1次工序STc后结束。或者,也可以执行多次包含多次循环CYA和工序STc的循环CYB。在后者的情况下,方法MT包含工序STJ2。在工序STJ2,判定是否满足停止条件。停止条件例如在循环CYB的次数达到规定次数的情况下满足。当在工序STJ2判定未满足停止条件时,再次执行循环CYB。另一方面,当在工序STJ2判定满足了停止条件时,方法MT结束。在方法MT结束时,膜EF能够如图8所示那样,成为被蚀刻至基底区域UR露出的状态。
在方法MT中,进行工序STc的蚀刻时,侧壁面SS由膜PF保护。因此,通过工序STc的蚀刻,抑制凹部OP横向扩展。
此外,在方法MT中,通过前驱体与第一化学种的反应在侧壁面SS上形成膜PF。第二化学种在特凹部OP的开口(即,开口端)的部位抑制膜PF的厚度的增加。因此,根据方法MT,抑制由膜PF引起的凹部OP的开口的闭塞。
此外,在方法MT中,形成膜PF的凹部OP内的深度方向的位置MP,能够通过第二气体的流量的调整和电偏压的大小的调整中的一方或双方来调整。位置MP能够作为膜PF在侧壁面SS上具有最大的膜厚的位置定义。具体而言,在方法MT中,能够将形成膜PF的凹部OP内的深度方向的位置MP,根据工序STb中使用的第二气体的流量的增加,调整至在凹部OP的深度方向上更深的位置。此外,在工序STb中使用的第二气体的流量比较大的情况下,第一化学种用于与第二化学种的反应的结果是,抑制凹部OP的在深的部位的膜PF的形成。
此外,在方法MT中,能够将形成膜PF的凹部OP内的深度方向的位置MP,根据工序STb中使用的电偏压EB的大小的增加,调整至凹部OP的深度方向上更深的位置。此外,电偏压EB的大小的调整与第二气体的流量的调整相比较,能够在包含更深的位置的范围内调整位置MP可能。当电偏压EB的大小变大时,在凹部OP的开口附近,发生膜PF的蚀刻,第一化学种到达凹部OP的深的区域而将前驱体改性。另外,电偏压EB的大小为高频电力LF的电力等级或负的直流电压的脉冲的电压等级的绝对值。
在一个实施方式中,电偏压EB的大小的大小也可以在工序STa与工序STb的交替重复,即多次循环CYA中变更。根据该实施方式,能够在工序STa与工序STb的交替重复中,变更形成膜PF的凹部OP内的深度方向的位置MP。
在一个实施方式中,多次循环CYB包含第一循环和第二循环。第一循环和第二循环依次执行。在第一循环内的工序STb赋予下部电极18的电偏压EB的大小,也可以与在第二循环内的工序STb赋予下部电极18的电偏压EB的大小不同。
在一个实施方式中,第二循环内的工序STb中使用的电偏压EB的大小,也可以比第一循环中使用的电偏压EB的大小大。例如,也可以随着多次的循环CYB的执行次数的增加,工序STb中使用的电偏压EB的大小增加。根据该实施方式,能够根据凹部OP的深度在凹部OP内的更深的部位形成膜PF。
在一个实施方式中,也可以如图5所示那样,第一气体和第二气体在进行工序STa和工序STb的交替重复的期间,连续地向腔室10内供给。在该实施方式中,第一气体和第二气体的等离子体仅在进行工序STb的期间生成。或者,也可以如图6所示那样,第一气体和第二气体仅在进行工序STb的期间供给。另外,在图6所示的例子中,方法MT也可以与图5所示的例子一样,也包含工序STP1和工序STP2。
以下,参照图9。图9是图1所示的基片处理方法中应用工序STa和工序STb的交替重复之前的状态的一个例子的基片的部分放大截面图。在一个实施方式中,也可以在对基片W应用工序STa与工序STb的交替重复之前,如图9所示那样,在基片W的侧壁面SS上存在堆积物DP。例如,堆积物DP能够在掩模MK的上部之上,此外在凹部OP的开口的附近,存在于侧壁面SS上。堆积物DP是由于工序ST2的蚀刻而产生的副产物。在膜EF如上述那样为含硅膜的情况下,堆积物DP能够含有硅。堆积物DP也可以在凹部OP的开口的附近在侧壁面SS上形成。
在一个实施方式的工序STb中,在掩模MK的上部之上和凹部OP的开口的附近存在于侧壁面SS上的堆积物DP,如图7和图8所示那样,一边利用膜PF保护侧壁面SS一边蚀刻(除去)。此外,在工序STb中,由膜PF保护侧壁面SS的状态下,在凹部OP的开口的附近蚀刻膜PF。在堆积物DP含有硅的情况下,工序STb中使用的处理气体作为第一气体含有含氧气体,作为第二气体含有含氟气体。含氧气体例如是O2气体。含氟气体含有CF4等那样的碳氟化合物、氢氟碳化合物、三氟化氮和六氟化硫中至少一种。在一个实施方式中,工序STb中使用的处理气体中的含氟气体的流量,比该处理气体中的含氧气体的流量多。根据该方法MT,能够抑制堆积物DP引起的凹部OP的开口的闭塞并且利用膜PF保护侧壁面SS。
以下,参照图10。图10是表示一个例示的实施方式所涉及的基片处理***的图。在方法MT中,也可以使用图10所示的基片处理***PS。
基片处理***PS包括台2a~2d,容器4a~4d,加载模块LM,对准器AN,负载锁定模块LL1、LL2,进程模块PM1~PM6,运送模块TF和控制部MC。另外,基片处理***PS中的台的个数、容器的个数、负载锁定模块的个数能够为一以上的任意的个数。此外,基片处理***PS中的进程模块的个数能够为二以上的任意的个数。
台2a~2d沿加载模块LM的一个边排列。容器4a~4d分别搭载在台2a~2d上。容器4a~4d例如为称为FOUP(Front Opening Unified Pod:前开式晶片传送盒)的容器。容器4a~4d分别以在其内部收纳基片W的方式构成。
加载模块LM具有腔室。加载模块LM的腔室内的压力设定为大气压。加载模块LM具有运送装置TU1。运送装置TU1例如是多关节机器人,由控制部MC控制。运送装置TU1以经由加载模块LM的腔室运送基片W的方式构成。运送装置TU1能够在容器4a~4d各自与对准器AN之间,对准器AN与负载锁定模块LL1、LL2各自之间,负载锁定模块LL1、LL2各自与容器4a~4d各自之间,运送基片W。对准器AN与加载模块LM连接。对准器AN以进行基片W的位置的调整(位置的校正)的方式构成。
负载锁定模块LL1和负载锁定模块LL2分别设置在加载模块LM与运送模块TF之间。负载锁定模块LL1和负载锁定模块LL2分别提供预备减压室。
运送模块TF经由闸阀与负载锁定模块LL1和负载锁定模块LL2分别连接。运送模块TF具有能够减压的运送腔室TC。运送模块TF具有运送装置TU2。运送装置TU2例如是多关节机器人,由控制部MC控制。运送装置TU2以经由运送腔室TC运送基片W的方式构成。运送装置TU2能够在负载锁定模块LL1、LL2各自与进程模块PM1~PM6各自之间、和进程模块PM1~PM6中任意二个进程模块之间,运送基片W。
进程模块PM1~PM6分别是以进行专用的基片处理的方式构成的装置。进程模块PM1~PM6中一个进程模块是工序ST2和工序STc中使用的等离子体处理装置。也可以进程模块PM1~PM6中另一进程模块为工序STc中使用的等离子体处理装置。工序ST2和工序STc中各自使用的等离子体处理装置也可以具有与等离子体处理装置1相同的结构。
进程模块PM1~PM6中又一进程模块是工序STa和工序STb的交替重复中使用的等离子体处理装置。工序STa和工序STb的交替重复中使用的等离子体处理装置也可以具有与等离子体处理装置1相同的结构。
在基片处理***PS中,控制部MC以控制基片处理***PS的各部的方式构成。控制部MC以在工序ST2蚀刻膜EF的方式控制等离子体处理装置的各部。控制部MC以在工序STa和工序STb的交替重复中、在侧壁面SS上形成膜PF的方式,控制等离子体处理装置的各部。控制部MC以在工序STc蚀刻膜EF的方式控制等离子体处理装置的各部。这些工序中各自的基片处理***PS的等离子体处理装置的控制,与上述的控制部80进行的等离子体处理装置1的各部的控制相同。该基片处理***PS能够在方法MT的执行中、在进程模块间不使基片W与大气接触地运送基片W。
以上,对各种例示的实施方式进行了说明,而本发明并不限定于上述例示的实施方式,也可以进行各种各样的追加、省略、替换和变更。此外,能够将不同的实施方式中的要素进行组合而形成另外的实施方式。
例如,方法MT的执行中使用的等离子体处理装置也可以为与等离子体处理装置1不同的电容耦合型的等离子体处理装置。方法MT的执行中使用的等离子体处理装置也可以为与电容耦合型不同的类型的等离子体处理装置。那样的等离子体处理装置例如为感应耦合型的等离子体处理装置、电子回旋共振(ECR)等离子体处理装置或利用微波一类的表面波由气体生成等离子体的等离子体处理装置。
此外,也可以在如工序ST2那样部分地蚀刻膜EF之后,使用光学测量图案形状的***,测量膜PF的形成前的凹部OP的宽度(成膜前的CD),计算所需的膜PF的成膜量。而且,还可以根据计算出的成膜量,决定膜PF的成膜条件。成膜条件包括循环CYA的次数、气体的流量(第一气体的流量和第二气体的流量)和电偏压EB的大小。循环CYA的次数能够基于每1次循环CYA的膜PF的成膜量决定。气体的流量和/或电偏压EB能够预先取得相对于气体的流量和/或电偏压EB的、膜PF的成膜位置(位置MP)或膜PF的成膜量的分布的关系,以基于该关系得到所期望的膜PF的成膜量的分布的方式决定。
此外,也可以使用光学测量图案形状的***,将膜PF的形成后的凹部OP的宽度(成膜后的CD)、以及膜PF的成膜量和/或膜PF的成膜位置,与它们的期望值进行比较。在膜PF的形成后的凹部OP的宽度(成膜后的CD)、以及膜PF的成膜量和/或膜PF的成膜位置相对于它们的期望值具有不可容许的误差的情况下,也可以修正成膜条件。在方法MT中,修正了的成膜条件下,能够处理以下的基片。
光学测量图案形状的***也可以组装入基片处理***内。在一个例子中,光学测量图案形状的***也可以与基片处理***PS的装载端口(包含台2a~2d和容器4a~4d的装置)连接。或者,光学测量图案形状的***也可以与运送腔室TC连接。光学测量图案形状的***也可以是从基片处理***PS独立的***。
以下,对为了方法MT的评价而进行的几个实验进行说明。以下说明的实验并不限定本发明。
(第1~第4实验)
在第1~第4实验中,准备具有与图4所示的基片W的结构相同的结构的多个试样基片。在多个试样基片的各个基片,掩模MK由多晶硅形成,具有约300nm(0.3μm)的厚度。在多个试样基片的各个基片,膜EF由硅氧化膜形成。凹部OP具有约2000nm(2.0μm)的深度。在第1~第4实验中,在多个试样基片应用工序STa和工序STb的交替重复,在侧壁面SS上形成膜PF。作为第一气体,使用O2气体,作为第二气体,使用CF4气体。第1~第4实验的工序STb中的第二气体的流量分别为0sccm、50sccm、100sccm、150sccm。第1~第4实验的其它条件如以下所示。
<第1~第4实验的条件>
工序STa
含有前驱体气体的处理气体:氨基硅烷气体、O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:2秒
工序STP1
供给给腔室10内的气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:1.5秒
工序STb
第一气体和第二气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
高频电力HF:0W
高频电力LF:40MHz,150W
处理时间:2秒
工序STP2
供给给腔室10内的气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:1秒
工序STa与工序Sb的交替重复的次数:50次
在第1~第4实验中,求得膜PF的形成前的凹部OP的宽度与膜PF的形成后的凹部OP的宽度的差,即ΔCD的凹部OP的深度方向的分布。另外,ΔCD反映膜PF的厚度。图11(a)、图11(b)、图11(c)、图11(d)分别是表示以第1~第4实验求得的ΔCD的深度方向的分布的曲线图。在这些图中纵轴的Z表示凹部OP的深度方向的位置。Z为0.0μm的位置是掩模MK的上表面的位置。Z的负值的绝对值表示自掩模MK的上表面起的深度方向的距离。在工序STb中未供给第二气体的情况下,如图11(a)所示,在凹部OP的开口的附近膜PF的厚度变大。另一方面,如图11(b)、图11(c)和图11(d)所示,确认到通过在工序STb向基片供给由第二气体而来的第二化学种,能够减小在凹部OP的开口的部位的膜PF的厚度。即,确认到通过在工序STb向腔室内供给第二气体,向基片供给第二化学种,能够抑制由膜PF引起的凹部OP的闭塞。此外,确认到工序STb中使用的第二气体的流量越大,在凹部OP内形成膜PF的位置越调整为更深的位置。此外,如图11(d)所示,确认到在工序STb中使用的第二气体的流量为比较大的流量的情况下,在凹部OP的深的位置的膜PF的形成受到抑制。
(第5~第7实验)
在第5~第7实验中,准备具有与图4所示的基片W的结构相同的结构的多个试样基片。在多个试样基片的各个基片,掩模MK由多晶硅形成,具有约300nm(0.3μm)的厚度。在多个试样基片的各个基片,膜EF由硅氧化膜形成。凹部OP具有约2000nm(2.0μm)的深度。在第5~第7实验中,在多个试样基片应用工序STa和工序STb的交替重复,在侧壁面SS上形成膜PF。作为第一气体,使用O2气体,作为第二气体,使用CF4气体。第5~第7实验的工序STb中的高频电力LF的大小为150W、250W、500W。第5~第7实验的其它条件如以下所示。
<第5~第7实验的条件>
工序STa
含有前驱体气体的处理气体:氨基硅烷气体、O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:2秒
工序STP1
供给给腔室10内的气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:1.5秒
工序STb
第一气体和第二气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
高频电力HF:0W
高频电力LF:40MHz
处理时间:2秒
工序STP2
供给给腔室10内的气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:1秒
工序STa与工序Sb的交替重复的次数:50次
在第5~第7实验中,与第1~第4实验一样,求得ΔCD的凹部OP的深度方向的分布。图12(a)、图12(b)、图12(c)分别是表示以第5~第7实验求得的ΔCD的深度方向的分布的曲线图。如图12(a)、图12(b)和图12(c)所示,确认到工序STb中使用的高频电力LF(即,电偏压EB)的大小越大,越在凹部OP内的更深的位置形成膜PF。此外,确认到电偏压EB的大小的调整与第二气体的流量的调整相比较,能够在包含更深的位置的范围内调整形成膜PF的位置(位置MP)。
(第8~第10实验)
在第8~第10实验中,准备具有与图4所示的基片W的结构相同的结构的多个试样基片。在多个试样基片的各个基片,掩模MK由多晶硅形成,具有约300nm(0.3μm)的厚度。在多个试样基片的各个基片,膜EF由硅氧化膜形成。凹部OP具有约2000nm(2.0μm)的深度。在第8~第10实验中,在多个试样基片的各自的侧壁面SS上通过等离子体CVD形成由氧化硅形成的堆积物DP,得到与图9所示的基片W相同的结构的试样基片。而且,在第8~第10实验中,在多个试样基片应用工序STa和工序STb的交替重复,在侧壁面SS上形成膜PF。作为第一气体,使用O2气体,作为第二气体,使用CF4气体。在第8~第10的实验工序STb中使用的处理气体中,CF4气体的流量比O2气体的流量多。具体而言,第8实验的工序STb中使用的处理气体中的O2气体的流量和CF4气体的流量为170sccm和280sccm。此外,第9实验的工序STb中使用的处理气体中的O2气体的流量和CF4气体的流量为100sccm和280sccm。此外,第10实验的工序STb中使用的处理气体中的O2气体的流量和CF4气体的流量为20sccm和280sccm。第8~第10实验的其它条件如以下所示。
<第8~第10实验的条件>
工序STa
含有前驱体气体的处理气体:氨基硅烷气体、O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:2秒
工序STP1
供给给腔室10内的气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:1.5秒
工序STb
第一气体和第二气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
高频电力HF:0W
高频电力LF:40MHz,250W
处理时间:2秒
工序STP2
供给给腔室10内的气体:O2气体和CF4气体的混合气体
腔室10内的气体的压力:10mTorr(1.333Pa)
处理时间:1秒
工序STa与工序Sb的交替重复的次数:50次
在第8~第10实验中,与第1~第7实验一样,求得ΔCD的凹部OP的深度方向的分布。图13(a)、图13(b)、图13(c)分别是表示以第8~第10实验求得的ΔCD的深度方向的分布的曲线图。如图13(a)、图13(b)和图13(c)所示,在第8~第10实验中得到的ΔCD在Z为0.0μm的位置,即凹部OP的开口附近的深度方向的位置具有负的值。由此,确认到在处理气体中的CF4气体的流量比O2气体的流量多的情况下,堆积物DP被蚀刻。此外,第8~第10实验中得到的ΔCD在比凹部OP的开口深的位置为0以上。由此,确认到在第8~第10的实验中侧壁面SS被保护。此外,确认到处理气体中的CF4气体的流量相对于O2气体的流量越多,形成的膜PF的厚度越小。
根据以上的说明,本发明的各种实施方式按说明的目的在本说明书中进行了说明,应理解为能够不脱离本发明的范围和主旨地进行各种变更。因此,本说明书中说明的各种实施方式并不是要进行限定,真正的范围和主旨由所附的权利请求的范围表示。
附图标记说明
1等离子体处理装置
10腔室
14基片支承器
50排气装置
62高频电源
64偏置电源
GS气体供给部
80控制部。

Claims (17)

1.一种基片处理方法,其特征在于,包括:
(a)使前驱体吸附在基片的侧壁面的工序,该侧壁面在该基片区划形成凹部;和
(b)向所述基片供给第一化学种和第二化学种的工序,该第一化学种在所述侧壁面上由所述前驱体形成膜,该第二化学种抑制该膜的厚度的增加,
所述(a)与所述(b)交替重复。
2.如权利要求1所述的基片处理方法,其特征在于:
所述第二化学种是卤素化学种。
3.如权利要求2所述的基片处理方法,其特征在于:
所述第二化学种是氟化学种。
4.如权利要求3所述的基片处理方法,其特征在于:
所述第二化学种由碳氟化合物、氢氟碳化合物、三氟化氮和六氟化硫中至少一种生成。
5.如权利要求2~4中的任一项所述的基片处理方法,其特征在于:
所述前驱体含有硅。
6.如权利要求1所述的基片处理方法,其特征在于:
在所述(b)蚀刻所述侧壁面上的堆积物。
7.如权利要求6所述的基片处理方法,其特征在于:
在所述(a)与所述(b)交替重复前,还包含以形成所述凹部的方式蚀刻所述基片的蚀刻膜的工序,通过该蚀刻膜的蚀刻在所述侧壁面上形成所述堆积物。
8.如权利要求6或7所述的基片处理方法,其特征在于:
所述堆积物和所述前驱体含有硅,
所述第一化学种从由含氧气体形成的等离子体供给,
所述第二化学种从由含氟气体形成的等离子体供给。
9.如权利要求8所述的基片处理方法,其特征在于:
在所述(b),由含有所述含氧气体和所述含氟气体的处理气体生成等离子体,该处理气体中的该含氟气体的流量比该处理气体中的该含氧气体的流量多。
10.如权利要求8或9所述的基片处理方法,其特征在于:
所述含氟气体包含碳氟化合物、氢氟碳化合物、三氟化氮和六氟化硫中至少一种。
11.如权利要求1~10中的任一项所述的基片处理方法,其特征在于:
在所述(b),对支承所述基片的基片支承器的下部电极赋予电偏压。
12.如权利要求11所述的基片处理方法,其特征在于:
在所述(a)与所述(b)的交替重复中,变更所述电偏压的大小。
13.如权利要求1~12中的任一项所述的基片处理方法,其特征在于:
在(c)所述(a)与所述(b)的交替重复之后,包含以使所述凹部的深度增加的方式蚀刻所述基片的工序。
14.如权利要求13所述的基片处理方法,其特征在于:
依次执行分别包含所述(a)与所述(b)的交替重复以及所述(c)的第一循环和第二循环,
在所述第一循环内的所述(b)中赋予支承所述基片的基片支承器的下部电极的电偏压的大小,与在所述第二循环内的所述(b)中赋予该下部电极的该电偏压的大小不同。
15.如权利要求14所述的基片处理方法,其特征在于:
所述第二循环内的所述(b)中使用的所述电偏压的大小,比所述第一循环内的所述(b)中使用的所述电偏压的大小大。
16.如权利要求1~15中的任一项所述的基片处理方法,其特征在于:
在所述(a)与所述(b)之间和/或所述(b)与所述(a)之间,还包含对在其中收纳所述基片的腔室的内部空间进行清洁的工序。
17.一种等离子体处理装置,其特征在于,包括:
腔室;
以向所述腔室内供给前驱体气体、第一气体和第二气体的方式构成的气体供给部;
以由所述第一气体和所述第二气体生成等离子体的方式构成的等离子体生成部;和
以控制所述气体供给部和所述等离子体生成部的方式构成的控制部,
所述控制部
(a)以向所述腔室内供给前驱体气体的方式控制所述气体供给部,使该前驱体气体中含有的前驱体吸附在基片的侧壁面,
(b)以在所述腔室内由第一气体和第二气体生成等离子体的方式控制所述气体供给部和所述等离子体生成部,由该第一气体生成在所述侧壁面上由所述前驱体形成膜的第一化学种,由该第二气体生成抑制该膜的厚度的增加的第二化学种,
将所述(a)与所述(b)交替重复。
CN202110959471.5A 2020-08-28 2021-08-20 晶片处理方法和等离子体处理装置 Pending CN114121641A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2020144390 2020-08-28
JP2020-144390 2020-08-28
JP2021006624A JP2022039910A (ja) 2020-08-28 2021-01-19 基板処理方法及びプラズマ処理装置
JP2021-006624 2021-01-19

Publications (1)

Publication Number Publication Date
CN114121641A true CN114121641A (zh) 2022-03-01

Family

ID=80356952

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110959471.5A Pending CN114121641A (zh) 2020-08-28 2021-08-20 晶片处理方法和等离子体处理装置

Country Status (4)

Country Link
US (1) US20220068629A1 (zh)
KR (1) KR20220029478A (zh)
CN (1) CN114121641A (zh)
TW (1) TW202213517A (zh)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010378A (en) * 1985-05-03 1991-04-23 Texas Instruments Incorporated Tapered trench structure and process
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
JP6840609B2 (ja) 2017-04-19 2021-03-10 株式会社高垣製作所 麺線切出装置
US11437242B2 (en) * 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials

Also Published As

Publication number Publication date
TW202213517A (zh) 2022-04-01
US20220068629A1 (en) 2022-03-03
KR20220029478A (ko) 2022-03-08

Similar Documents

Publication Publication Date Title
CN109427576B (zh) 蚀刻方法
US10998187B2 (en) Selective deposition with atomic layer etch reset
US20220415661A1 (en) Plasma processing apparatus and plasma processing method
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
US8748322B1 (en) Silicon oxide recess etch
US11127598B2 (en) Film etching method for etching film
US11251048B2 (en) Plasma processing method and plasma processing apparatus
TW201921501A (zh) 去除矽氧化膜之方法
CN113811637A (zh) 利用可独立调整的基座的多站半导体处理
CN114121641A (zh) 晶片处理方法和等离子体处理装置
TW202133252A (zh) 蝕刻方法、基板處理裝置及基板處理系統
CN114446778A (zh) 蚀刻方法和等离子体处理装置
CN112530799A (zh) 蚀刻氧化硅膜的方法及等离子体处理装置
CN109494153B (zh) 处理被加工物的方法
CN112420507A (zh) 处理基板的方法、器件制造方法及等离子体处理装置
CN113097061A (zh) 蚀刻方法、基板处理装置及基板处理***
CN114762091B (zh) 蚀刻方法、等离子体处理装置、基板处理***以及存储介质
CN111725062B (zh) 膜的蚀刻方法和等离子体处理装置
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
JP7309799B2 (ja) エッチング方法及びプラズマ処理装置
US20230100292A1 (en) Plasma processing method and plasma processing system
WO2022196369A1 (ja) 基板処理方法および基板処理装置
JP2023067443A (ja) プラズマ処理方法及びプラズマ処理装置
CN112786442A (zh) 等离子体处理方法及等离子体处理装置
CN112599414A (zh) 基片处理方法、半导体器件的制造方法和等离子体处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination