KR20240033271A - 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치 - Google Patents

에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20240033271A
KR20240033271A KR1020247005320A KR20247005320A KR20240033271A KR 20240033271 A KR20240033271 A KR 20240033271A KR 1020247005320 A KR1020247005320 A KR 1020247005320A KR 20247005320 A KR20247005320 A KR 20247005320A KR 20240033271 A KR20240033271 A KR 20240033271A
Authority
KR
South Korea
Prior art keywords
gas
mask
metal
etching
plasma
Prior art date
Application number
KR1020247005320A
Other languages
English (en)
Inventor
다카히로 요코야마
마사후미 우라카와
유키 치바
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2022016830A external-priority patent/JP7099675B1/ja
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20240033271A publication Critical patent/KR20240033271A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 방법은, 실리콘 함유층을 포함하는 에칭 대상층과, 에칭 대상층 상에 측벽에 의해 규정되는 개구를 갖는 금속을 포함하는 마스크를 구비하는 기판을 제공하는 공정과, 금속 함유 가스를 포함하는 처리 가스를 공급하는 공정과, 처리 가스로부터 플라즈마를 생성하고, 마스크의 상부 및 측벽에 금속을 함유하는 보호층을 형성하면서, 개구를 통해 에칭 대상층을 에칭하는 공정을 갖는다.

Description

에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치
본 개시는 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치에 관한 것이다.
산화막 등의 절연막을 탄소와 불소를 포함하는 가스 등의 플라즈마를 이용하여 에칭할 때에, 에칭 중에 국소적인 대전으로 생기는 형상 이상을 억제하기 위해, 에칭 가스에 WF6 가스를 첨가함으로써 도전층을 형성하는 것이 제안되어 있다.
특허문헌 1: 일본 특허 공개 평성9-50984호 공보
본 개시는 금속 함유 마스크의 선택비를 향상시킬 수 있는 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치를 제공한다.
본 개시의 일양태에 따른 에칭 방법은, 실리콘 함유층을 포함하는 에칭 대상층과, 에칭 대상층 상에 측벽에 의해 규정되는 개구를 갖는 금속을 포함하는 마스크를 구비하는 기판을 제공하는 공정과, 금속 함유 가스를 포함하는 처리 가스를 공급하는 공정과, 처리 가스로부터 플라즈마를 생성하고, 마스크의 상부 및 측벽에 금속을 함유하는 보호층을 형성하면서, 개구를 통해 에칭 대상층을 에칭하는 공정을 갖는다.
본 개시에 따르면, 금속 함유 마스크의 선택비를 향상시킬 수 있다.
도 1은 본 개시의 일실시형태에 있어서의 플라즈마 처리 장치의 일례를 나타내는 개략 단면도이다.
도 2는 본 실시형태에 따른 플라즈마 처리 장치에 의해 에칭되는 기판의 구조의 일례를 모식적으로 나타내는 도면이다.
도 3은 본 실시형태에 있어서의 기판의 에칭의 진행의 일례를 모식적으로 나타내는 도면이다.
도 4는 본 실시형태에 있어서의 에칭 처리의 일례를 나타내는 흐름도이다.
도 5는 본 실시형태와 참고예에 있어서의 실험 결과의 일례를 나타내는 도면이다.
도 6은 육불화텅스텐 가스의 유량과 마스크 선택비의 관계의 일례를 나타내는 도면이다.
도 7은 바이어스 전압과 마스크 선택비의 관계의 일례를 나타내는 도면이다.
이하에, 개시하는 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치의 실시형태에 대해서, 도면에 기초하여 상세하게 설명한다. 또한, 이하의 실시형태에 의해 개시 기술이 한정되는 것은 아니다.
유전막의 에칭에 있어서, 예컨대, 탄화텅스텐(WC) 등의 금속 함유 마스크를 이용하는 경우, 금속 함유 마스크가 에칭되어 선택비(유전막의 에치 레이트/금속 함유 마스크의 에치 레이트)가 저하하는 경우가 있다. 반도체 프로세스의 미세화가 진행되면, 금속 함유 마스크의 선택비의 저하가 문제가 되는 경우가 있다. 그래서, 금속 함유 마스크의 선택비를 향상시키는 것이 기대되고 있다.
[플라즈마 처리 장치(10)의 구성]
도 1은 본 개시의 일실시형태에 있어서의 플라즈마 처리 장치의 일례를 나타내는 개략 단면도이다. 도 1에 나타내는 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 처리 장치이다. 플라즈마 처리 장치(10)는, 챔버(12)를 구비하고 있다. 챔버(12)는, 대략 원통 형상을 가지고 있다. 챔버(12)는, 그 내부 공간을 처리 공간(12c)으로서 제공하고 있다. 챔버(12)는, 예컨대 알루미늄으로 형성되어 있다. 챔버(12)의 내벽면에는, 내플라즈마성을 갖는 처리가 실시되어 있다. 예컨대, 챔버(12)의 내벽면에는, 양극 산화 처리가 실시되어 있다. 챔버(12)는, 전기적으로 접지되어 있다.
또한, 챔버(12)의 측벽에는, 통로(12p)가 형성되어 있다. 피처리체의 일례인 웨이퍼(기판)(W)는, 처리 공간(12c)에 반입될 때, 또한, 처리 공간(12c)으로부터 반출될 때에, 통로(12p)를 통과한다. 이 통로(12p)는, 게이트 밸브(12g)에 의해 개폐 가능하게 되어 있다.
챔버(12)의 바닥부 상에는, 지지부(13)가 마련되어 있다. 지지부(13)는, 절연 재료로 형성되어 있다. 지지부(13)는, 대략 원통 형상을 가지고 있다. 지지부(13)는, 처리 공간(12c) 내에 있어서, 챔버(12)의 바닥부로부터 연직 방향으로 연장되어 있다. 지지부(13)는, 스테이지(14)를 지지하고 있다. 스테이지(14)는, 처리 공간(12c) 내에 마련되어 있다. 스테이지(14)는, 배치대 및 기판 지지체의 일례이다.
스테이지(14)는, 하부 전극(18) 및 정전 척(20)을 가지고 있다. 스테이지(14)는, 전극 플레이트(16)를 더 구비할 수 있다. 전극 플레이트(16)는, 예컨대 알루미늄이라고 하는 도체로 형성되어 있고, 대략 원반 형상을 가지고 있다. 하부 전극(18)은, 전극 플레이트(16) 상에 마련되어 있다. 하부 전극(18)은, 예컨대 알루미늄이라고 하는 도체로 형성되어 있고, 대략 원반 형상을 가지고 있다. 하부 전극(18)은, 전극 플레이트(16)에 전기적으로 접속되어 있다.
정전 척(20)은, 하부 전극(18) 상에 마련되어 있다. 정전 척(20)의 상면 상에는, 웨이퍼(W)가 배치된다. 정전 척(20)은, 유전체로 형성된 본체를 갖는다. 정전 척(20)의 본체 내에는, 막형의 전극이 마련되어 있다. 정전 척(20)의 전극은, 스위치를 통해 직류 전원(22)에 접속되어 있다. 정전 척(20)의 전극에 직류 전원(22)으로부터의 전압이 인가되면, 정전 척(20)과 웨이퍼(W) 사이에서 정전 인력이 발생한다. 발생한 정전 인력에 의해, 웨이퍼(W)는 정전 척(20)에 끌어 당겨지고, 상기 정전 척(20)에 의해 유지된다.
하부 전극(18)의 둘레 가장자리부 상에는, 웨이퍼(W)의 엣지를 둘러싸도록, 포커스 링(FR)이 배치된다. 포커스 링(FR)은, 엣지 링의 일례이고, 에칭의 균일성을 향상시키기 위해 마련되어 있다. 포커스 링(FR)은, 한정되는 것은 아니지만, 실리콘, 탄화실리콘, 또는, 석영으로 형성될 수 있다.
하부 전극(18)의 내부에는, 유로(18f)가 마련되어 있다. 유로(18f)에는, 챔버(12)의 외부에 마련되어 있는 칠러 유닛(26)으로부터 배관(26a)를 통해 열교환 매체(예컨대 냉매)가 공급된다. 유로(18f)에 공급된 열교환 매체는, 배관(26b)을 통해 칠러 유닛(26)으로 되돌아간다. 플라즈마 처리 장치(10)에서는, 정전 척(20) 상에 배치된 웨이퍼(W)의 온도가, 열교환 매체와 하부 전극(18)의 열교환에 의해, 조정된다.
플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 마련되어 있다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예컨대 He 가스를, 정전 척(20)의 상면과 웨이퍼(W)의 이면 사이에 공급한다.
플라즈마 처리 장치(10)는, 상부 전극(30)을 더 구비하고 있다. 상부 전극(30)은, 스테이지(14)의 상방에 마련되어 있다. 상부 전극(30)은, 부재(32)를 통해, 챔버(12)의 상부에 지지되어 있다. 부재(32)는, 절연성을 갖는 재료로 형성되어 있다. 상부 전극(30)은, 천장판(34) 및 지지체(36)를 포함할 수 있다. 천장판(34)의 하면은, 처리 공간(12c)측의 하면이고, 처리 공간(12c)을 구획하고 있다. 천장판(34)은, 줄 열이 적은 저저항의 도전체 또는 반도체로 형성될 수 있다. 천장판(34)에는, 복수의 가스 토출 구멍(34a)이 형성되어 있다. 복수의 가스 토출 구멍(34a)은, 상기 천장판(34)을 그 판 두께 방향으로 관통하고 있다.
지지체(36)는, 천장판(34)을 착탈 가능하게 지지하는 것이며, 예컨대 알루미늄이라고 하는 도전성 재료로 형성될 수 있다. 지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 가스 확산실(36a)로부터는, 복수의 가스 토출 구멍(34a)에 각각 연통하는 복수의 가스 통류 구멍(36b)이 하방으로 연장되어 있다. 지지체(36)에는, 가스 확산실(36a)에 처리 가스를 유도하는 가스 도입구(36c)가 형성되어 있다. 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다. 가스 도입구(36c)는, 챔버(12) 내에 가스를 공급하는 가스 공급구의 일례이다.
가스 공급관(38)에는, 밸브군(42) 및 유량 제어기군(44)을 통해, 가스 소스군(40)이 접속되어 있다. 가스 소스군(40)은, 복수의 가스 소스를 포함하고 있다. 복수의 가스 소스는, 에칭 처리 등에서 이용되는 처리 가스를 구성하는 복수의 가스의 소스를 포함하고 있다. 밸브군(42)은, 복수의 개폐 밸브를 포함하고 있다. 유량 제어기군(44)은, 복수의 유량 제어기를 포함하고 있다. 복수의 유량 제어기의 각각은, 매스 플로우 컨트롤러 또는 압력 제어식의 유량 제어기이다. 가스 소스군(40)의 복수의 가스 소스는, 밸브군(42)의 대응하는 밸브, 및 유량 제어기군(44)의 대응하는 유량 제어기를 통해 가스 공급관(38)에 접속되어 있다.
플라즈마 처리 장치(10)에서서는, 챔버(12)의 내벽을 따라, 실드(46)가 착탈 가능하게 마련되어 있다. 실드(46)는, 지지부(13)의 외주에도 마련되어 있다. 실드(46)는, 챔버(12)에 에칭 부생물이 부착하는 것을 방지한다. 실드(46)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다.
지지부(13)와 챔버(12)의 측벽 사이에는, 배플 플레이트(48)가 마련되어 있다. 배플 플레이트(48)는, 예컨대, 알루미늄제의 모재에 Y2O3 등의 세라믹스를 피복함으로써 구성된다. 배플 플레이트(48)에는, 복수의 관통 구멍이 형성되어 있다. 배플 플레이트(48)의 하방, 또한, 챔버(12)의 바닥부에는, 배기구(12e)가 마련되어 있다. 배기구(12e)에는, 배기관(52)을 통해 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 압력 제어 밸브, 및 터보 분자 펌프라고 하는 진공 펌프를 가지고 있다.
플라즈마 처리 장치(10)는, 제1 고주파 전원(62) 및 제2 고주파 전원(64)을 더 구비하고 있다. 제1 고주파 전원(62)은, 플라즈마 생성용의 제1 고주파를 발생하는 전원이다. 제1 고주파의 주파수는, 예컨대, 27 ㎒∼100 ㎒의 범위 내의 주파수이다. 제1 고주파 전원(62)은, 정합기(66) 및 전극 플레이트(16)를 통해 하부 전극(18)에 접속되어 있다. 정합기(66)는, 제1 고주파 전원(62)의 출력 임피던스와 부하측(하부 전극(18)측)의 입력 임피던스를 정합시키기 위한 회로를 가지고 있다. 또한, 제1 고주파 전원(62)은, 정합기(66)를 통해, 상부 전극(30)에 접속되어 있어도 좋다. 또한, 제1 고주파 전원(62)은, 플라즈마 생성부의 일례이다.
제2 고주파 전원(64)은, 웨이퍼(W)에 이온을 인입하기 위한 제2 고주파를 발생하는 전원이다. 제2 고주파의 주파수는, 제1 고주파의 주파수보다 낮다. 제2 고주파의 주파수는, 예컨대 400 ㎑∼13.56 ㎒의 범위 내의 주파수이다. 제2 고주파 전원(64)은, 정합기(68) 및 전극 플레이트(16)를 통해 하부 전극(18)에 접속되어 있다. 정합기(68)는, 제2 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극(18)측)의 입력 임피던스를 정합시키기 위한 회로를 가지고 있다.
플라즈마 처리 장치(10)는, 직류 전원부(70)를 더 구비할 수 있다. 직류 전원부(70)는, 상부 전극(30)에 접속되어 있다. 직류 전원부(70)는, 부의 직류 전압을 발생하고, 상기 직류 전압을 상부 전극(30)에 부여하는 것이 가능하다.
플라즈마 처리 장치(10)는, 제어부(80)를 더 구비할 수 있다. 제어부(80)는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터일 수 있다. 제어부(80)는, 플라즈마 처리 장치(10)의 각 부를 제어한다. 제어부(80)로서는, 입력 장치를 이용하여, 오퍼레이터가 플라즈마 처리 장치(10)를 관리하기 위해 커맨드의 입력 조작 등을 행할 수 있다. 또한, 제어부(80)에서는, 표시 장치에 의해, 플라즈마 처리 장치(10)의 가동 상황을 가시화하여 표시할 수 있다. 또한, 제어부(80)의 기억부에는, 플라즈마 처리 장치(10)에서 실행되는 각종 처리를 프로세서에 의해 제어하기 위한 제어 프로그램, 및 레시피 데이터가 저장되어 있다. 제어부(80)의 프로세서가 제어 프로그램을 실행하여, 레시피 데이터에 따라 플라즈마 처리 장치(10)의 각 부를 제어함으로써, 소망의 처리가 플라즈마 처리 장치(10)에서 실행된다.
예컨대, 제어부(80)는, 후술하는 에칭 방법을 행하도록 플라즈마 처리 장치(10)의 각 부를 제어한다. 상세한 일례를 들면, 제어부(80)는, 실리콘 함유층을 포함하는 에칭 대상층과, 에칭 대상층 상에 측벽에 의해 규정되는 개구를 갖는 금속을 포함하는 마스크를 구비하는 웨이퍼(기판)(W)를 제공하는 공정을 실행한다. 또한, 제어부(80)는, 금속 함유 가스를 포함하는 처리 가스를 공급하는 공정을 실행한다. 또한, 제어부(80)는, 처리 가스로부터 플라즈마를 생성하고, 마스크의 상부 및 측벽에 금속을 함유하는 보호층을 형성하면서, 개구를 통해 에칭 대상층을 에칭하는 공정을 실행한다.
[처리 대상의 기판]
다음에, 도 2 및 도 3을 이용하여 에칭 처리 대상의 기판에 대해서 설명한다. 도 2는 본 실시형태에 따른 플라즈마 처리 장치에 의해 에칭되는 기판의 구조의 일례를 모식적으로 나타내는 도면이다. 도 2에 나타내는 웨이퍼(W)는, 실리콘 기판(101) 상에, 실리콘 함유층(102)과, 마스크(103)를 갖는다. 실리콘 함유층(함유막)(102)으로서는, 예컨대, 실리콘 산화층(SiO2), 실리콘 질화층(SiN), 및 Low-k층 등을 들 수 있다. 또한, 실리콘 함유층(102)은, 실리콘 함유 유전층의 일례이다. Low-k층으로서는, 예컨대 SiOC층을 들 수 있다. 또한, 실리콘 함유층(102)은, 실리콘 산화층과 Low-k층, 실리콘 산화층과 실리콘 질화층, 또는, 실리콘 질화층과 Low-k층을 포함하는 적층 구조여도 좋다. 또한, 실리콘 함유층(102)은, 에칭 대상층의 일례이다.
마스크(103)는, 소정 패턴의 개구, 예컨대, 측벽에 의해 규정되는 빗형의 개구를 갖는 마스크 패턴이 형성된 층이다. 마스크(103)는, 예컨대 금속 함유 마스크이다. 금속 함유 마스크의 예는, 텅스텐, 탄화텅스텐(WC), 몰리브덴 또는 질화티탄(TiN)을 포함한다. 마스크(103)의 개구 간의 피치는, 예컨대 30 ㎚ 정도이고, 라인 CD(Critical Dimension)는, 예컨대 10 ㎚ 정도이다. 또한, 마스크(103)의 두께는, 예컨대 20 ㎚ 정도이고, 실리콘 함유층(102)의 두께는, 예컨대 200 ㎚ 정도이다. 또한, 본 실시형태에서는, 처리 대상의 웨이퍼(W)로서 로직 디바이스용의 기판을 상정하고 있다. 또한, 처리 대상의 웨이퍼(W)로서는, 로직 디바이스용 이외의 용도여도 좋고, 예컨대, 애스팩트비 30 이상의 고애스팩트비가 형성되는 메모리용의 기판에도 적용 가능하다.
또한, 마스크(103)에 포함되는 금속 또는 금속의 화합물로서는, 전술한 예도 포함시켜, 예컨대, 텅스텐(W), 탄화텅스텐(WCα(α는 0을 넘는 실수. 예컨대, α=1.)), 텅스텐실리사이드(WSiβ(β는 0을 넘는 실수. 예컨대, β=1 또는 2.)), 티탄(Ti), 질화티탄(TiNγ(γ는 0을 넘는 실수. 예컨대, γ=1.)), 질화탄탈(TaNδ(δ는 0을 넘는 실수. 예컨대, δ=1.)), 탄화몰리브덴(MoεC(ε는 0을 넘는 실수. 예컨대, ε=1 또는 2.)), 질화몰리브덴(MoζN(ζ는 0을 넘는 실수. 예컨대, ζ=1 또는 2.)), 몰리브덴실리사이드(MoSiη(η는 0을 넘는 실수. 예컨대, η=1 또는 2.)), 붕화몰리브덴(MoBΘ(Θ는 0을 넘는 실수. 예컨대, Θ=1, 2 또는 3.)), 산화몰리브덴(MoOι(ι는 0을 넘는 실수. 예컨대, ι=1, 2 또는 3.)), 레늄(Re), 산화레늄(ReOκ(κ는 0을 넘는 실수. 예컨대, κ=1, 2 또는 3.)), 및 질화레늄(ReNλ(λ는 0을 넘는 실수. 예컨대, λ=1 또는 2.))을 들 수 있다. 마스크(103)에는, 텅스텐(W), 티탄(Ti), 탄탈(Ta), 몰리브덴(Mo), 및 레늄(Re)이라고 하는 금속 원소가 포함되어도 좋다. 또한, 마스크(103)에는, 질화붕소(BN)가 포함되어도 좋다. 마스크(103)에는, 붕소(B), 탄소(C), 질소(N), 산소(O), 실리콘(Si), 인(P), 및 유황(S)이라고 하는 비금속 원소가 포함되어도 좋다.
도 3은 본 실시형태에 있어서의 기판의 에칭의 진행의 일례를 모식적으로 나타내는 도면이다. 본 실시형태에서는, 도 3의 상태 104∼106에 나타내는 바와 같이, 웨이퍼(W)의 실리콘 함유층(102)의 에칭이 진행된다. 상태 104는, 에칭의 개시 전의 상태이다. 상태 105는, 에칭이 진행 중인 상태를 나타내며, 마스크(103)의 상부(상면) 및 측벽에 텅스텐을 함유하는 보호층(107)을 형성하면서, 마스크(103)의 개구를 통해 홈(108)이 형성되어 있다. 이때, 보호층(107)은, 마스크(103)의 측벽에는 얇게, 마스크(103)의 상부에는 두껍게 퇴적한다. 즉, 마스크(103)의 상부에 형성되는 보호층(107)의 두께는, 마스크(103)의 측벽에 형성되는 보호층의 두께보다 크다. 예컨대, 마스크(103)의 측벽의 보호층(107)의 두께는, 1 ㎚ 정도이고, 측벽에 대한 상부의 막 두께비(상부의 막 두께/측벽의 막 두께)가 2 이상 5 미만이어도 좋다. 다른 예에서는, 측벽에 대한 상부의 막 두께비(상부의 막 두께/측벽의 막 두께)가 5 이상이어도 좋다. 또한, 다른 예에서는, 측벽에 대한 상부의 막 두께비(상부의 막 두께/측벽의 막 두께)가 2 미만이어도 좋다. 또한, 마스크(103)의 측벽에 형성되는 보호층(107)의 두께는, 마스크(103)의 개구의 상부로부터 깊이 방향을 향하여 얇아지게 형성되도록 하여도 좋다. 또한, 에칭의 공정에 따라서는, 마스크(103)의 상부에 형성되는 보호층(107)의 두께가, 마스크(103)의 측벽에 형성되는 보호층의 두께 이하여도 좋다. 상태 106은, 상태 105로부터 더욱 에칭이 진행되어, 홈(108)이 실리콘 기판(101)에 달한 상태이다. 상태 106까지 에칭이 진행되면, 소정의 형상(일례에서는, 소정의 애스팩트비)이 얻어졌다고 판정되어 에칭이 종료한다. 또한, 도 3에서는, 2개의 홈(108) 이외의 에칭의 상황은 생략하고 있다.
[에칭 방법]
다음에, 본 실시형태에 따른 에칭 방법에 대해서 설명한다. 도 4는 본 실시형태에 있어서의 에칭 처리의 일례를 나타내는 흐름도이다.
본 실시형태에 따른 에칭 방법에서는, 제어부(80)는, 게이트 밸브(12g)를 개방하도록 제어한다. 그리고, 챔버(12) 내에, 실리콘 함유층(102)의 상부에 마스크(103)가 형성된 웨이퍼(W)가 반입되어, 스테이지(14)의 정전 척(20) 상에 배치된다. 웨이퍼(W)는, 정전 척(20) 내의 흡착 전극(도시하지 않음)에 직류 전압이 인가됨으로써 정전 척(20) 상에 유지된다. 제어부(80)는, 그 후, 게이트 밸브(12g)를 폐쇄하도록 제어하고, 배기 장치(50)를 제어함으로써, 처리 공간(12c)의 분위기가 소정의 진공도가 되도록, 처리 공간(12c)으로부터 기체를 배기한다. 또한, 제어부(80)는, 도시하지 않는 온도 조절 모듈을 제어함으로써, 웨이퍼(W)의 온도가 소정의 온도가 되도록, 온도 조정된다(단계 S1).
다음에, 제어부(80)는, 처리 가스의 공급을 개시하도록 제어한다(단계 S2). 제어부(80)는, 텅스텐 함유 가스를 포함하는 처리 가스로서, WF6과 C4F6과 O2와 Ar의 혼합 가스(이하, WF6/C4F6/O2/Ar 가스라고 한다.)를 가스 도입구(36c)에 공급하도록 제어한다. 또한, C4F6을 일례로 하는 탄소 및 불소를 함유하는 가스는, 플루오로카본 가스 및 하이드로플루오로카본 가스 중 하나 또는 복수의 가스를 포함하는 가스여도 좋다. 즉, 탄소 및 불소를 함유하는 가스는, CxHyFz(x, z는 1 이상의 정수, y는 0 이상의 정수)를 포함하는 가스이다. CxHyFz는, C2F4, CF4, C3F4, C3F8, C4F8, C4F6, C5F8, CH2F2, CH2F3, CHF3, CH3F 등의 탄소-불소 결합을 갖는 화합물이다. 또한, 산소 함유 가스는, CO 가스, CO2 가스 등이어도 좋다. 또한, 처리 가스에는, O2 등의 산소 함유 가스는 포함하지 않아도 좋다. 또한 Ar 가스는, 다른 노블 가스, 예컨대, Xe 가스여도 좋고, 노블 가스 대신에 N2 가스 등의 불활성 가스여도 좋다.
또한, 처리 가스는, 텅스텐 함유 가스를 포함하는 처리 가스에 한정되지 않고, 다른 금속 함유 가스를 포함하는 처리 가스여도 좋다. 금속 함유 가스로서는, 전술한 육불화텅스텐(WF6) 가스 외에, 예컨대, 육브롬화텅스텐(WBr6) 가스, 육염화텅스텐(WCl6) 가스, WF5Cl 가스, 헥사카르보닐텅스텐(W(CO)6) 가스, 사염화티탄(TiCl4) 가스, 오불화몰리브덴(MoF5) 가스, 육불화바나듐(VF6) 가스, 육불화백금(PtF6) 가스, 사불화하프늄(HfF4) 가스, 및 오불화니오븀(NbF5) 가스를 들 수 있다. 또한, 금속 함유 가스는, 금속 할로겐 함유 가스여도 좋다. 또한, 금속 함유 가스는, 텅스텐, 티탄, 몰리브덴, 바나듐, 백금, 하프늄, 니오븀, 탄탈, 및 레늄이라고 하는 금속 원소를 포함하여도 좋다.
처리 가스는, 가스 도입구(36c)에 공급된 후에, 가스 확산실(36a)에 공급되어 확산된다. 처리 가스는, 가스 확산실(36a)에서 확산된 후에, 복수의 가스 토출 구멍(34a)을 통해, 챔버(12)의 처리 공간(12c)에 샤워형으로 공급되어, 처리 공간(12c)에 도입된다.
제어부(80)는, 제1 고주파 전원(62)을 제어함으로써, 플라즈마 생성용의 고주파 전력(제1 고주파 전력)을 하부 전극(18)에 공급한다. 즉, 처리 공간(12c)에서는, 플라즈마 생성용의 고주파 전력에 의해, 처리 가스로부터 플라즈마가 생성된다. 여기서, 플라즈마 생성용의 고주파 전력은, 5 ㎾ 미만이며, 5.6 W/㎠ 이하인 것이 바람직하다. 웨이퍼(W)는, 발생한 플라즈마에 의해 플라즈마 처리된다. 즉, 제어부(80)는, 챔버(12) 내에 플라즈마 생성용의 고주파 전력을 공급하여 처리 가스로부터 플라즈마를 생성하고, 마스크(103)를 통해 실리콘 함유층(102)을 에칭 처리하도록 제어한다(단계 S3). 또한, 본 실시형태에서는, 제2 고주파 전원(64)으로부터의 전기 바이어스의 전압(제2 고주파 전력)은 공급하지 않지만, 플라즈마 중의 이온 등은, 하부 전극(18)에 공급되는 플라즈마 생성용의 고주파 전력에 의해, 웨이퍼(W)측에 인입되어 에칭 처리가 진행된다.
제어부(80)는, 플라즈마 처리 장치(10)의 도시하지 않는 센서로부터 취득한 정보나 레시피에 따른 처리 시간 등에 기초하여, 단계 S3에 의해, 소정의 형상이 얻어졌는지의 여부를 판정한다(단계 S4). 제어부(80)는, 소정의 형상이 얻어져 있지 않다고 판정한 경우(단계 S4: No), 처리를 단계 S3으로 되돌린다. 한편, 제어부(80)는, 소정의 형상이 얻어졌다고 판정한 경우(단계 S4: Yes), 처리를 종료한다.
제어부(80)는, 처리를 종료하는 경우, 처리 가스의 공급을 정지하도록 제어한다. 또한, 제어부(80)는, 정전 척(20)에 정부가 반대인 직류 전압을 인가하여 제전하도록 제어하여, 웨이퍼(W)가 정전 척(20)으로부터 박리된다. 제어부(80)는, 게이트 밸브(12g)를 개방하도록 제어한다. 웨이퍼(W)는, 통로(12p)를 통해 챔버(12)의 처리 공간(12c)으로부터 반출된다.
또한, 반출된 웨이퍼(W)는, 다른 기판 처리 장치 등에 의해, 마스크(103)의 제거, 컨택트 패드로서 기능하는 도전 재료의 형성 등이 행해진다. 즉, 전술한 에칭 방법이 적용된 웨이퍼(W)를 이용한 반도체 장치가 제조된다.
[실험 결과]
계속해서, 도 5 내지 도 7을 이용하여 실험 결과에 대해서 설명한다. 도 5는 본 실시형태와 참고예에 있어서의 실험 결과의 일례를 나타내는 도면이다. 도 5는 처리 가스에 WF6을 첨가하지 않는 참고예와, 처리 가스에 WF6을 첨가하는 본 실시형태에 대응하는 실시예에 있어서의 실험 결과이다. 또한, 처리 조건은, 하기의 처리 조건을 이용하였다. 또한, 웨이퍼(W)에 있어서, 실리콘 함유층(102)은, 실리콘 산화층(SiO2)을 이용하였다. 또한, 마스크(103)는, 탄화텅스텐(WC)을 이용하였다.
<처리 조건>
제1 고주파 전력(40 ㎒): 300 W
제2 고주파 전력(400 ㎑): 0 W
처리 가스 참고예: C4F6/O2/Ar 가스
실시예: WF6/C4F6/O2/Ar 가스(WF6의 유량비는 1% 이하)
처리 시간: 30초
도 5에 나타내는 바와 같이, 마스크(103)의 잔량은, 참고예에서는 12.5 ㎚인 데 대하여, 실시예에서는 14.8 ㎚였다. 마스크(103)의 로스(소모량)는, 참고예에서는 3.9 ㎚인 데 대하여, 실시예에서는 1.6 ㎚로 감소하였다. 에칭량은, 거의 동일한 깊이가 되도록 맞추어져 있고, 참고예가 15.9 ㎚이고, 실시예가 15.7 ㎚였다. 마스크 선택비는, 참고예에서는 4.1인 데 대하여, 실시예에서는 9.8로 2배 이상 개선되었다.
도 6은 육불화텅스텐 가스의 유량과 마스크 선택비의 관계의 일례를 나타내는 도면이다. 도 6의 그래프 110은, 도 5의 실험 결과에 있어서의, WF6 가스의 유량과 마스크 선택비의 관계를 나타낸 것이다. 그래프 110에 나타내는 바와 같이, WF6 가스의 첨가 유량이 0 sccm인 참고예에서는, WC 마스크 선택비가 4.1이 되고, WF6 가스의 첨가 유량이 5 sccm인 실시예에서는, WC 마스크 선택비가 9.8로 되어 있다. 즉, WF6 가스를 처리 가스에 첨가함으로써, 금속 함유 마스크인 탄화텅스텐(WC)의 마스크(103)와, 실리콘 산화층인 실리콘 함유층(102)의 선택비를 향상(개선)시킬 수 있다. 또한, WF6 가스의 처리 가스의 전체 유량에 대한 유량의 비율(유량비)은, 10% 이하인 것이 바람직하고, 5% 이하인 것이 보다 바람직하고, 1% 이하인 것이 더욱 바람직하다.
다음에, 전기 바이어스의 전압의 마스크 선택비에의 영향에 대해서 설명한다. 도 7은 바이어스 전압과 마스크 선택비의 관계의 일례를 나타내는 도면이다. 도 7에 나타내는 그래프 111에서는, 처리 가스에 WF6 가스를 첨가한 경우에 있어서, 전기 바이어스의 전압(도 7 중, 바이어스 전압과 나타낸다.)을 공급하지 않는(0 V) 경우와, 공급한(-500 V) 경우에 있어서의 WC 마스크 선택비를 나타내고 있다. 또한, 그래프 111에서는, 참고로서, 처리 가스에 WF6 가스를 첨가하지 않는 경우로서, 바이어스 전압을 공급하지 않는(0 V) 경우의 WC 마스크 선택비를 나타내고 있다. 그래프 111에 나타내는 바와 같이, 바이어스 전압을 공급하지 않는(0 W) 경우, WF6 가스를 첨가하면 WC 마스크 선택비가 개선되는 것을 알았다. 한편, 바이어스 전압을 공급한(-500 V) 경우, WF6 가스를 첨가하여도 WC 마스크 선택비가 개선되지 않는 것을 알았다. 즉, 바이어스 전압이 작은 쪽이 WC 마스크 선택비의 개선 효과가 큰 것을 알았다.
또한, 에칭 처리에 있어서, 에칭 속도의 향상 등을 위해, 제2 고주파 전원(64)으로부터 이온을 인입하기 위한 전기 바이어스의 전압을 하부 전극(18)에 공급하도록 하여도 좋다. 이 경우, 전기 바이어스의 전압은, -500 V 이상 0 V 이하인 것이 바람직하다.
전술한 본 실시형태에 나타내는 바와 같이, 처리 가스에 소정량의 WF6을 첨가한 뒤에, 바이어스 전압을 공급하지 않거나, 혹은, 저바이어스 전압을 공급하는 경우, 마스크 선택비가 향상한다. WF6은, 금속 원소끼리의 친화성이 높기 때문에, 실리콘 함유층(실리콘 산화층, 실리콘 질화층 및 Low-k층 등)인 피에칭층보다 금속 함유 마스크 상에 더욱 퇴적하기 쉽다. 한편, 바이어스 전압을 공급하지 않거나, 혹은, 저바이어스 전압을 공급하는 경우, 기판에 입사하는 이온 에너지가 0, 혹은, 낮아지기 때문에, 퇴적물의 에칭이 억제된다. 이러한 WF6의 첨가 및 바이어스 전압의 제어에 의한 상호 작용에 의해, 금속 함유 마스크 상에 더욱 WF6이 퇴적한다고 하는 효과를 가지고, 그 때문에, 마스크 선택비가 향상한다. 또한, WF6에 함유되는 텅스텐과 동종의 금속인 텅스텐을 함유하는 마스크로 금속 원소끼리의 결합이 더욱 강해지지만, 이종의 금속끼리여도 그 효과를 갖는다. 다른 예에서는, 첨가 가스로서 텅스텐을 함유하는 가스를 포함하는 처리 가스를 사용하여 텅스텐 이외의 금속을 함유하는 마스크를 통해 피에칭층을 에칭하여도 좋고, 첨가 가스로서 텅스텐 이외의 금속을 함유하는 가스를 포함하는 처리 가스를 사용하여 텅스텐을 함유하는 마스크를 통해 피에칭층을 에칭하여도 좋다. 또한, 첨가 가스로서 텅스텐 이외의 금속을 함유하는 가스를 포함하는 처리 가스를 사용하여 텅스텐 이외의 금속을 함유하는 마스크를 통해 피에칭층을 에칭하여도 좋다. 즉, 마스크(103)에 포함되는 금속과, 금속 함유 가스에 포함되는 금속은, 동일한 금속이어도 좋고, 다른 금속이어도 좋다. 이들 경우도 마찬가지로, 마스크 선택비를 향상시킬 수 있다.
또한, 상기한 실시형태에서는, 하부 전극(18)에 플라즈마 생성용의 고주파 전력과, 바이어스 전압을 공급하는 타입의 용량 결합형 플라즈마 처리 장치인 플라즈마 처리 장치(10)를 이용하였지만, 이에 한정되지 않는다. 예컨대, 상부 전극(30)에 플라즈마 생성용의 고주파 전력을 공급하고, 하부 전극(18)에 바이어스 전압을 공급하는 타입의 용량 결합형 플라즈마 처리 장치를 이용하여도 좋다.
이상, 본 실시형태에 따르면, 제어부(80)는, 장치 각 부를 제어하여, 실리콘 함유층(102)을 포함하는 에칭 대상층과, 에칭 대상층 상에 측벽에 의해 규정되는 개구를 갖는 금속을 포함하는 마스크(103)를 구비하는 기판(웨이퍼(W))을 제공하는 공정을 실행한다. 제어부(80)는, 장치 각 부를 제어하여, 금속 함유 가스를 포함하는 처리 가스를 공급하는 공정을 실행한다. 제어부(80)는, 장치 각 부를 제어하여, 처리 가스로부터 플라즈마를 생성하고, 마스크(103)의 상부 및 측벽에 금속을 함유하는 보호층을 형성하면서, 개구를 통해 에칭 대상층을 에칭하는 공정을 실행한다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 마스크(103)는, 텅스텐, 티탄, 탄탈, 몰리브덴, 및 레늄으로 이루어지는 군에서 선택되는 적어도 하나의 금속 원소를 포함한다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 마스크(103)는, 붕소, 탄소, 질소, 산소, 실리콘, 인, 및 유황으로 이루어지는 군에서 선택되는 적어도 하나의 비금속 원소를 포함한다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 마스크(103)는, 텅스텐, 탄화텅스텐, 텅스텐실리사이드, 티탄, 질화티탄, 질화탄탈, 탄화몰리브덴, 질화몰리브덴, 몰리브덴실리사이드, 붕화몰리브덴, 산화몰리브덴, 레늄, 산화레늄, 질화레늄으로 이루어지는 군에서 선택되는 적어도 하나를 포함한다. 그 결과, 텅스텐, 탄화텅스텐, 텅스텐실리사이드, 티탄, 질화티탄, 질화탄탈, 탄화몰리브덴, 질화몰리브덴, 몰리브덴실리사이드, 붕화몰리브덴, 산화몰리브덴, 레늄, 산화레늄, 질화레늄으로 이루어지는 군에서 선택되는 적어도 하나를 포함하는 마스크(103)와, 실리콘 함유층(102)의 선택비를 향상(개선)시킬 수 있다.
또한, 본 실시형태에 따르면, 금속 함유 가스는, 금속 할로겐 함유 가스이다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 금속 함유 가스는, 텅스텐, 티탄, 몰리브덴, 바나듐, 백금, 하프늄, 니오븀, 탄탈, 및 레늄으로 이루어지는 군에서 선택되는 적어도 하나의 금속 원소를 포함한다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 금속 함유 가스는, 육불화텅스텐(WF6) 가스, 육브롬화텅스텐(WBr6) 가스, 육염화텅스텐(WCl6) 가스, WF5Cl 가스, 헥사카르보닐텅스텐(W(CO)6) 가스, 사염화티탄 가스, 오불화몰리브덴 가스, 육불화바나듐 가스, 육불화백금 가스, 사불화하프늄 가스, 및 오불화니오븀 가스로 이루어지는 군에서 선택되는 적어도 하나의 가스를 포함한다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 마스크(103)에 포함되는 금속과, 금속 함유 가스에 포함되는 금속은, 동일한 금속이다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 마스크(103)에 포함되는 금속과, 금속 함유 가스에 포함되는 금속은, 다른 금속이다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 처리 가스는, CxHyFz(x, z는 1 이상의 정수, y는 0 이상의 정수) 가스를 포함한다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, CxHyFz 가스는, CF4, C3F8, C4F8, C4F6, C5F8, CH2F2, CHF3, CH3F로 이루어지는 군에서 선택되는 적어도 하나의 가스를 포함한다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 처리 가스는, 산소 함유 가스를 더 포함한다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 제어부(80)는, 에칭하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하고, 전기 바이어스의 전압은, -500 V 이상 0 V 이하이다. 그 결과, 상부 전극(30)에 플라즈마 생성용의 고주파 전력을 공급하는 타입의 용량 결합형 플라즈마 처리 장치에 있어서도, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 에칭하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하지 않는다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 생성되는 플라즈마는, 용량 결합형 플라즈마 또는 유도 결합형 플라즈마이다. 그 결과, 금속을 포함하는 마스크(103)의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 생성되는 플라즈마는, 용량 결합형 플라즈마이고, 기판은, 기판 지지체(스테이지(14))에 지지되고, 플라즈마 생성용의 고주파 전력은, 기판 지지체에 공급된다. 그 결과, 스테이지(14)의 하부 전극(18)에 공급된 플라즈마 생성용의 고주파 전력에 의해 웨이퍼(W)에 이온 등이 인입됨으로써, 에칭을 진행시킬 수 있다.
또한, 본 실시형태에 따르면, 마스크의 상부에 형성되는 보호층의 두께는, 마스크의 측벽에 형성되는 보호층의 두께보다 커지도록 한다. 그 결과, 금속을 포함하는 마스크의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 마스크의 측벽에 형성되는 보호층의 두께는, 개구의 상부로부터 깊이 방향을 향하여 얇아지도록 한다. 그 결과, 금속을 포함하는 마스크의 선택비를 향상시킬 수 있다.
또한, 본 실시형태에 따르면, 기판은, 로직 디바이스용 기판이다. 그 결과, 로직 디바이스에 알맞은 에칭을 행할 수 있다.
또한, 본 실시형태에 따르면, 전술한 에칭 방법을 적용한 반도체 장치의 제조 방법을 제공한다. 그 결과, 반도체 장치를 제조할 수 있다.
또한, 본 실시형태에 따르면, 전술한 에칭 방법을 플라즈마 처리 장치에 실행시키는 에칭 프로그램을 제공한다. 그 결과, 플라즈마 처리 장치에서 전술한 에칭 방법을 실행할 수 있다.
이번에 개시된 실시형태는, 모든 점에서 예시로서, 제한적인 것이 아니라고 생각되어야 한다. 상기 실시형태는, 첨부된 청구범위 및 그 주지를 일탈하는 일없이, 여러 가지 형체로 생략, 치환, 변경되어도 좋다.
또한, 상기한 실시형태에서는, 용량 결합형 플라즈마를 이용하여 웨이퍼(W)에 대하여 에칭 등의 처리를 행하는 플라즈마 처리 장치(10)를 예로 설명하였지만, 개시된 기술은 이에 한정되지 않는다. 플라즈마를 이용하여 웨이퍼(W)에 대하여 처리를 행하는 장치이면, 플라즈마원은 용량 결합 플라즈마에 한정되지 않고, 예컨대, 유도 결합 플라즈마, 마이크로파 플라즈마, 마그네트론 플라즈마 등, 임의의 플라즈마원을 이용할 수 있다.
이상의 실시형태에 관하여, 또한 이하의 부기를 개시한다.
(부기 1) 에칭 방법으로서,
실리콘 함유층을 포함하는 에칭 대상층과, 상기 에칭 대상층 상에 측벽에 의해 규정되는 개구를 갖는 금속을 포함하는 마스크를 구비하는 기판을 제공하는 공정과,
금속 함유 가스를 포함하는 처리 가스를 공급하는 공정과,
상기 처리 가스로부터 플라즈마를 생성하고, 상기 마스크의 상부 및 상기 측벽에 금속을 함유하는 보호층을 형성하면서, 상기 개구를 통해 상기 에칭 대상층을 에칭하는 공정을 갖는, 에칭 방법.
(부기 2) 상기 마스크는, 텅스텐, 티탄, 탄탈, 몰리브덴, 및 레늄으로 이루어지는 군에서 선택되는 적어도 하나의 금속 원소를 포함하는, 부기 1에 기재된 에칭 방법.
(부기 3) 상기 마스크는, 붕소, 탄소, 질소, 산소, 실리콘, 인, 및 유황으로 이루어지는 군에서 선택되는 적어도 하나의 비금속 원소를 포함하는, 부기 1 또는 2에 기재된 에칭 방법.
(부기 4) 상기 마스크는, 텅스텐, 탄화텅스텐, 텅스텐실리사이드, 티탄, 질화티탄, 질화탄탈, 탄화몰리브덴, 질화몰리브덴, 몰리브덴실리사이드, 붕화몰리브덴, 산화몰리브덴, 레늄, 산화레늄, 질화레늄으로 이루어지는 군에서 선택되는 적어도 하나를 포함하는, 부기 1∼3 중 어느 하나에 기재된 에칭 방법.
(부기 5) 상기 금속 함유 가스는, 금속 할로겐 함유 가스인, 부기 1∼4 중 어느 하나에 기재된 에칭 방법.
(부기 6) 상기 금속 함유 가스는, 텅스텐, 티탄, 몰리브덴, 바나듐, 백금, 하프늄, 니오븀, 탄탈, 및 레늄으로 이루어지는 군에서 선택되는 적어도 하나의 금속 원소를 포함하는, 부기 1∼5 중 어느 하나에 기재된 에칭 방법.
(부기 7) 상기 금속 함유 가스는, 육불화텅스텐 가스, 육브롬화텅스텐 가스, 육염화텅스텐 가스, WF5Cl 가스, 헥사카르보닐텅스텐 가스, 사염화티탄 가스, 오불화몰리브덴 가스, 육불화바나듐 가스, 육불화백금 가스, 사불화하프늄 가스, 및 오불화니오븀 가스로 이루어지는 군에서 선택되는 적어도 하나의 가스를 포함하는, 부기 1∼5 중 어느 하나에 기재된 에칭 방법.
(부기 8) 상기 마스크에 포함되는 금속과, 상기 금속 함유 가스에 포함되는 금속은, 동일한 금속인, 부기 1∼7 중 어느 하나에 기재된 에칭 방법.
(부기 9) 상기 마스크에 포함되는 금속과, 상기 금속 함유 가스에 포함되는 금속은, 다른 금속인, 부기 1∼7 중 어느 하나에 기재된 에칭 방법.
(부기 10) 상기 처리 가스는, CxHyFz(x, z는 1 이상의 정수, y는 0 이상의 정수) 가스를 포함하는, 부기 1∼9 중 어느 하나에 기재된 에칭 방법.
(부기 11) 상기 CxHyFz 가스는, CF4, C3F8, C4F8, C4F6, C5F8, CH2F2, CHF3, CH3F로 이루어지는 군에서 선택되는 적어도 하나의 가스를 포함하는, 부기 10에 기재된 에칭 방법.
(부기 12) 상기 처리 가스는, 산소 함유 가스를 더 포함하는, 부기 1∼11 중 어느 하나에 기재된 에칭 방법.
(부기 13) 상기 에칭하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하고,
상기 전기 바이어스의 전압은, -500 V 이상 0 V 이하인, 부기 1∼12 중 어느 하나에 기재된 에칭 방법.
(부기 14) 상기 에칭하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하지 않는, 부기 1∼12 중 어느 하나에 기재된 에칭 방법.
(부기 15) 생성되는 상기 플라즈마는, 용량 결합형 플라즈마 또는 유도 결합형 플라즈마인, 부기 1∼14 중 어느 하나에 기재된 에칭 방법.
(부기 16) 생성되는 상기 플라즈마는, 용량 결합형 플라즈마이고,
상기 기판은, 기판 지지체에 지지되고,
플라즈마 생성용의 고주파 전력은, 상기 기판 지지체에 공급되는, 부기 1∼15 중 어느 하나에 기재된 에칭 방법.
(부기 17) 상기 마스크의 상부에 형성되는 상기 보호층의 두께는, 상기 마스크의 측벽에 형성되는 상기 보호층의 두께보다 큰, 부기 1∼16 중 어느 하나에 기재된 에칭 방법.
(부기 18) 상기 마스크의 측벽에 형성되는 상기 보호층의 두께는, 상기 개구의 상부로부터 깊이 방향을 향하여 얇아지는, 부기 17에 기재된 에칭 방법.
(부기 19) 상기 기판은, 로직 디바이스용 기판인, 부기 1∼18 중 어느 하나에 기재된 에칭 방법.
(부기 20) 부기 1∼19 중 어느 하나에 기재된 에칭 방법을 포함하는 반도체 장치의 제조 방법.
(부기 21) 부기 1∼19 중 어느 하나에 기재된 에칭 방법을 플라즈마 처리 장치에 실행시키는 에칭 프로그램.
(부기 22) 플라즈마 처리 장치로서,
챔버와,
상기 챔버 내에 배치된 기판 지지체와,
상기 챔버 내에 가스를 공급하는 가스 공급구와,
상기 챔버 내에 있어서 플라즈마를 생성하는 플라즈마 생성부와,
제어부를 구비하고,
상기 제어부는,
실리콘 함유층을 포함하는 에칭 대상층과, 상기 에칭 대상층 상에 금속을 포함하는 마스크를 구비하는 기판을 상기 기판 지지체에 제공하는 공정과,
금속 함유 가스를 포함하는 처리 가스를 공급하는 공정과,
상기 처리 가스로부터 플라즈마를 생성하고, 상기 마스크를 통해 상기 에칭 대상층을 에칭하며, 상기 마스크의 상부 및 측벽에 금속을 함유하는 보호층을 형성하는 공정을 실행하는, 플라즈마 처리 장치.
](부기 23) 상기 보호층을 형성하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하고,
상기 전기 바이어스의 전압은, -500 V 이상 0 V 이하인, 부기 22에 기재된 플라즈마 처리 장치.
(부기 24) 상기 보호층을 형성하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하지 않는, 부기 22에 기재된 플라즈마 처리 장치.
(부기 25) 생성되는 상기 플라즈마는, 용량 결합형 플라즈마 또는 유도 결합형 플라즈마인, 부기 22∼24 중 어느 하나에 기재된 플라즈마 처리 장치.
(부기 26) 생성되는 상기 플라즈마는, 용량 결합형 플라즈마이고,
상기 기판은, 상기 기판 지지체에 지지되고,
플라즈마 생성용의 고주파 전력은, 상기 기판 지지체에 공급되는, 부기 22∼24 중 어느 하나에 기재된 플라즈마 처리 장치.
(부기 27) 에칭 방법으로서,
실리콘 산화층을 포함하는 에칭 대상층과, 상기 에칭 대상층 상에 텅스텐 함유 마스크를 구비하는 기판을 제공하는 공정과,
텅스텐 함유 가스를 포함하는 처리 가스를 공급하는 공정과,
상기 처리 가스로부터 플라즈마를 생성하고, 상기 텅스텐 함유 마스크를 통해 상기 에칭 대상층을 에칭하는 공정을 갖는, 에칭 방법.
(부기 28) 플라즈마 처리 장치로서,
챔버와,
상기 챔버 내에 배치된 기판 지지체와,
상기 챔버 내에 있어서 플라즈마를 생성하는 플라즈마 생성부와,
제어부를 구비하고,
상기 제어부는,
실리콘 산화층을 포함하는 에칭 대상층과, 상기 에칭 대상층 상에 텅스텐 함유 마스크를 구비하는 기판을 상기 기판 지지체에 제공하는 공정과,
텅스텐 함유 가스를 포함하는 처리 가스를 공급하는 공정과,
상기 처리 가스로부터 플라즈마를 생성하고, 상기 텅스텐 함유 마스크를 통해 상기 에칭 대상층을 에칭하는 공정을 실행하는, 플라즈마 처리 장치.
10 플라즈마 처리 장치
12 챔버
14 스테이지
18 하부 전극
30 상부 전극
62 제1 고주파 전원
64 제2 고주파 전원
80 제어부
101 실리콘 기판
102 실리콘 함유층
103 마스크
107 보호층
W 웨이퍼

Claims (26)

  1. 에칭 방법으로서,
    실리콘 함유층을 포함하는 에칭 대상층과, 상기 에칭 대상층 상에 측벽에 의해 규정되는 개구를 갖는 금속을 포함하는 마스크를 구비하는 기판을 제공하는 공정과,
    금속 함유 가스를 포함하는 처리 가스를 공급하는 공정과,
    상기 처리 가스로부터 플라즈마를 생성하고, 상기 마스크의 상부 및 상기 측벽에 금속을 함유하는 보호층을 형성하면서, 상기 개구를 통해 상기 에칭 대상층을 에칭하는 공정을 갖는, 에칭 방법.
  2. 제1항에 있어서, 상기 마스크는, 텅스텐, 티탄, 탄탈, 몰리브덴, 및 레늄으로 이루어지는 군에서 선택되는 적어도 하나의 금속 원소를 포함하는, 에칭 방법.
  3. 제1항 또는 제2항에 있어서, 상기 마스크는, 붕소, 탄소, 질소, 산소, 실리콘, 인, 및 유황으로 이루어지는 군에서 선택되는 적어도 하나의 비금속 원소를 포함하는, 에칭 방법.
  4. 제1항 또는 제2항에 있어서, 상기 마스크는, 텅스텐, 탄화텅스텐, 텅스텐실리사이드, 티탄, 질화티탄, 질화탄탈, 탄화몰리브덴, 질화몰리브덴, 몰리브덴실리사이드, 붕화몰리브덴, 산화몰리브덴, 레늄, 산화레늄, 질화레늄으로 이루어지는 군에서 선택되는 적어도 하나를 포함하는, 에칭 방법.
  5. 제1항 또는 제2항에 있어서, 상기 금속 함유 가스는, 금속 할로겐 함유 가스인, 에칭 방법.
  6. 제1항 또는 제2항에 있어서, 상기 금속 함유 가스는, 텅스텐, 티탄, 몰리브덴, 바나듐, 백금, 하프늄, 니오븀, 탄탈, 및 레늄으로 이루어지는 군에서 선택되는 적어도 하나의 금속 원소를 포함하는, 에칭 방법.
  7. 제1항 또는 제2항에 있어서, 상기 금속 함유 가스는, 육불화텅스텐 가스, 육브롬화텅스텐 가스, 육염화텅스텐 가스, WF5Cl 가스, 헥사카르보닐텅스텐 가스, 사염화티탄 가스, 오불화몰리브덴 가스, 육불화바나듐 가스, 육불화백금 가스, 사불화하프늄 가스, 및 오불화니오븀 가스로 이루어지는 군에서 선택되는 적어도 하나의 가스를 포함하는, 에칭 방법.
  8. 제1항 또는 제2항에 있어서, 상기 마스크에 포함되는 금속과, 상기 금속 함유 가스에 포함되는 금속은, 동일한 금속인, 에칭 방법.
  9. 제1항 또는 제2항에 있어서, 상기 마스크에 포함되는 금속과, 상기 금속 함유 가스에 포함되는 금속은, 다른 금속인, 에칭 방법.
  10. 제1항 또는 제2항에 있어서, 상기 처리 가스는, CxHyFz(x, z는 1 이상의 정수, y는 0 이상의 정수) 가스를 포함하는, 에칭 방법.
  11. 제10항에 있어서, 상기 CxHyFz 가스는, CF4, C3F8, C4F8, C4F6, C5F8, CH2F2, CHF3, CH3F로 이루어지는 군에서 선택되는 적어도 하나의 가스를 포함하는, 에칭 방법.
  12. 제1항 또는 제2항에 있어서, 상기 처리 가스는, 산소 함유 가스를 더 포함하는, 에칭 방법.
  13. 제1항 또는 제2항에 있어서, 상기 에칭하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하고,
    상기 전기 바이어스의 전압은, -500 V 이상 0 V 이하인, 에칭 방법.
  14. 제1항 또는 제2항에 있어서, 상기 에칭하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하지 않는, 에칭 방법.
  15. 제1항 또는 제2항에 있어서, 생성되는 상기 플라즈마는, 용량 결합형 플라즈마 또는 유도 결합형 플라즈마인, 에칭 방법.
  16. 제1항 또는 제2항에 있어서, 생성되는 상기 플라즈마는, 용량 결합형 플라즈마이고,
    상기 기판은, 기판 지지체에 지지되고,
    플라즈마 생성용의 고주파 전력은, 상기 기판 지지체에 공급되는, 에칭 방법.
  17. 제1항 또는 제2항에 있어서, 상기 마스크의 상부에 형성되는 상기 보호층의 두께는, 상기 마스크의 측벽에 형성되는 상기 보호층의 두께보다 큰, 에칭 방법.
  18. 제17항에 있어서, 상기 마스크의 측벽에 형성되는 상기 보호층의 두께는, 상기 개구의 상부로부터 깊이 방향을 향하여 얇아지는, 에칭 방법.
  19. 제1항 또는 제2항에 있어서, 상기 기판은, 로직 디바이스용 기판인, 에칭 방법.
  20. 제1항 또는 제2항에 기재된 에칭 방법을 포함하는 반도체 장치의 제조 방법.
  21. 제1항 또는 제2항에 기재된 에칭 방법을 플라즈마 처리 장치에 실행시키는 에칭 프로그램.
  22. 플라즈마 처리 장치로서,
    챔버와,
    상기 챔버 내에 배치된 기판 지지체와,
    상기 챔버 내에 가스를 공급하는 가스 공급구와,
    상기 챔버 내에 있어서 플라즈마를 생성하는 플라즈마 생성부와,
    제어부를 구비하고,
    상기 제어부는,
    실리콘 함유층을 포함하는 에칭 대상층과, 상기 에칭 대상층 상에 금속을 포함하는 마스크를 구비한 기판을 상기 기판 지지체에 제공하는 공정과,
    금속 함유 가스를 포함하는 처리 가스를 공급하는 공정과,
    상기 처리 가스로부터 플라즈마를 생성하고, 상기 마스크를 통해 상기 에칭 대상층을 에칭하며, 상기 마스크의 상부 및 측벽에 금속을 함유하는 보호층을 형성하는 공정을 실행하는, 플라즈마 처리 장치.
  23. 제22항에 있어서, 상기 보호층을 형성하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하고,
    상기 전기 바이어스의 전압은, -500 V 이상 0 V 이하인, 플라즈마 처리 장치.
  24. 제22항에 있어서, 상기 보호층을 형성하는 공정에 있어서, 이온을 인입하기 위한 전기 바이어스를 공급하지 않는, 플라즈마 처리 장치.
  25. 제22항에 있어서, 생성되는 상기 플라즈마는, 용량 결합형 플라즈마 또는 유도 결합형 플라즈마인, 플라즈마 처리 장치.
  26. 제22항에 있어서, 생성되는 상기 플라즈마는, 용량 결합형 플라즈마이고,
    상기 기판은, 상기 기판 지지체에 지지되고,
    플라즈마 생성용의 고주파 전력은, 상기 기판 지지체에 공급되는, 플라즈마 처리 장치.
KR1020247005320A 2021-07-27 2022-06-27 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치 KR20240033271A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2021122118 2021-07-27
JPJP-P-2021-122118 2021-07-27
JPJP-P-2022-016830 2022-02-07
JP2022016830A JP7099675B1 (ja) 2021-07-27 2022-02-07 エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
TW111105078A TWI802266B (zh) 2021-07-27 2022-02-11 蝕刻方法、半導體裝置之製造方法及電漿處理裝置
TW111105078 2022-02-11
PCT/JP2022/025435 WO2023008025A1 (ja) 2021-07-27 2022-06-27 エッチング方法、半導体装置の製造方法、エッチングプログラムおよびプラズマ処理装置

Publications (1)

Publication Number Publication Date
KR20240033271A true KR20240033271A (ko) 2024-03-12

Family

ID=85087909

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247005320A KR20240033271A (ko) 2021-07-27 2022-06-27 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치

Country Status (3)

Country Link
US (1) US20240162047A1 (ko)
KR (1) KR20240033271A (ko)
WO (1) WO2023008025A1 (ko)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950984A (ja) 1995-08-07 1997-02-18 Hitachi Ltd 表面処理方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7008474B2 (ja) * 2016-11-30 2022-01-25 東京エレクトロン株式会社 プラズマエッチング方法
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
CN112640064A (zh) * 2018-08-24 2021-04-09 朗姆研究公司 用于高深宽比蚀刻的含金属钝化
WO2020096808A1 (en) * 2018-11-05 2020-05-14 Lam Research Corporation Method for etching an etch layer
WO2021090516A1 (ja) * 2019-11-08 2021-05-14 東京エレクトロン株式会社 エッチング方法
JP7336365B2 (ja) * 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
JP2021090039A (ja) * 2019-11-25 2021-06-10 東京エレクトロン株式会社 基板処理方法及びプラズマ処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950984A (ja) 1995-08-07 1997-02-18 Hitachi Ltd 表面処理方法

Also Published As

Publication number Publication date
US20240162047A1 (en) 2024-05-16
WO2023008025A1 (ja) 2023-02-02

Similar Documents

Publication Publication Date Title
KR102626802B1 (ko) 에칭 방법
JP7022651B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
JP6529357B2 (ja) エッチング方法
KR20160041764A (ko) 피처리체를 처리하는 방법
US11462412B2 (en) Etching method
WO2014034396A1 (ja) プラズマ処理方法、及びプラズマ処理装置
US20210151301A1 (en) Method for etching film and plasma processing apparatus
KR20160103531A (ko) 에칭 방법
JP2016136616A (ja) エッチング方法
JP2019186501A (ja) エッチングする方法及びプラズマ処理装置
KR20190079565A (ko) 에칭 방법
KR20240033271A (ko) 에칭 방법, 반도체 장치의 제조 방법, 에칭 프로그램 및 플라즈마 처리 장치
JP7099675B1 (ja) エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
KR20220058433A (ko) 에칭 방법 및 플라즈마 처리 장치
KR20210035073A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN117751433A (zh) 蚀刻方法、半导体装置的制造方法、蚀刻程序以及等离子体处理装置
JP7321059B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2024001464A (ja) エッチング方法及びプラズマ処理装置
KR20220011582A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TW202247283A (zh) 含釕材料的選擇性移除法
JP2020177958A (ja) 基板処理方法及び基板処理装置