KR20210072826A - 에칭 챔버의 방향성 증착 - Google Patents

에칭 챔버의 방향성 증착 Download PDF

Info

Publication number
KR20210072826A
KR20210072826A KR1020217017304A KR20217017304A KR20210072826A KR 20210072826 A KR20210072826 A KR 20210072826A KR 1020217017304 A KR1020217017304 A KR 1020217017304A KR 20217017304 A KR20217017304 A KR 20217017304A KR 20210072826 A KR20210072826 A KR 20210072826A
Authority
KR
South Korea
Prior art keywords
mask
patterned
target layer
vertical growth
tungsten
Prior art date
Application number
KR1020217017304A
Other languages
English (en)
Inventor
종규 탄
리시 시에
요코 야마구치
야스시 이시카와
패트릭 포나스
성 진 정
상준 박
원철 이
자영 최
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210072826A publication Critical patent/KR20210072826A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 적용 예들에서 사용하기 위한 수직 성장 마스크를 형성하기 위한 방법들이 본 명세서에 기술된다. 개시된 실시 예들은 패터닝된 에칭 마스크 하부 포지티브 피처들의 측벽들 상에 또는 타겟 층의 노출된 표면 상에 실질적인 증착 없이 패터닝된 에칭 마스크의 포지티브 피처들의 필드 영역들 상에 선택적으로 텅스텐을 증착하도록 플라즈마를 점화하는 동안 텅스텐-함유 증착 전구체 및 하나 이상의 캐리어 가스들을 도입하는 단계를 포함한다.

Description

에칭 챔버의 방향성 증착
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
반도체 디바이스 제조는 마이크로프로세서들, 로직, 및 메모리 디바이스들의 제조를 포함한다. 이러한 디바이스들은 다양한 타입들의 마스크들을 구현하는 패터닝 기법들을 포함하는, 기법들의 다양성을 사용하여 제조될 수도 있다. 일부 프로세스들은 실리콘 옥사이드 및 실리콘 나이트라이드를 포함하는 구조체들의 형성을 수반한다. 이러한 구조체들을 형성하기 위한 일부 기법들은 에칭 및 증착 모두를 포함하는 패터닝 기법들로 제한될 수도 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는: 타겟 층 위에 패터닝된 에칭 마스크를 갖는 반도체 기판을 제공하는 단계로서, 패터닝된 에칭 마스크는 이격된 포지티브 피처들 (positive features) 을 포함하고, 이격된 포지티브 피처 각각은 필드 영역 및 측벽들을 갖는, 반도체 기판 제공 단계; 및 타겟 층에 대해 이격된 포지티브 피처들의 필드 영역들 상에 수직 성장 마스크를 선택적으로 증착하는 단계를 수반하는 방법을 수반한다.
다양한 실시 예들에서, 수직 성장 마스크는 적어도 하나의 피처를 포함하고, 그리고 수직 성장 마스크의 적어도 하나의 피처의 임계 치수는 패터닝된 에칭 마스크의 대응하는 이격된 포지티브 피처의 임계 치수와 실질적으로 동일하다.
일부 실시 예들에서, 필드 영역들 상에 수직 성장 마스크를 증착하는 단계는 약 0 ℃ 내지 약 160 ℃의 기판 온도에서 수행된다.
방법은 또한 패터닝된 에칭 마스크 및 수직 성장 마스크 모두를 마스크로서 사용하여 타겟 층을 에칭하는 단계를 포함할 수도 있다. 일부 실시 예들에서, 수직 성장 마스크의 증착 단계 및 타겟 층의 에칭 단계는 동시에 수행된다. 일부 실시 예들에서, 증착 및 에칭은 반도체 기판을 플루오로카본 가스 및 텅스텐-함유 가스에 노출하고 플라즈마를 점화함으로써 동시에 수행된다. 플루오로카본 가스 및 텅스텐-함유 가스는 약 10:1 내지 약 1:1의 플루오로카본 가스 플로우 레이트 대 텅스텐-함유 가스 플로우 레이트의 비로 전달될 수도 있다. 일부 실시 예들에서, 플루오로카본 가스는 전달된 플루오로카본 가스 및 텅스텐-함유 가스의 총 체적의 약 80 % 미만의 플로우 레이트로 전달된다.
일부 실시 예들에서, 타겟 층은 비정질 탄소를 포함하고, 증착 및 에칭은 반도체 기판을 산소 플라즈마 및 텅스텐-함유 플라즈마에 동시에 노출함으로써 동시에 수행된다.
일부 실시 예들에서, 방법은 사이클들에서 수직 성장 마스크를 선택적으로 증착하는 단계와 타겟 층을 에칭하는 단계 사이를 교번하는 것을 더 포함할 수도 있다. 일부 실시 예들에서, 타겟 층은 비정질 탄소를 포함하고, 에칭은 타겟 층을 산소 플라즈마에 노출시킴으로써 수행된다.
다양한 실시 예들에서, 수직 성장 마스크의 이격된 포지티브 피처들 사이의 공간들의 사이즈는 패터닝된 에칭 마스크의 이격된 포지티브 피처들 사이의 공간들의 사이즈와 실질적으로 동일하다. 일부 실시 예들에서, 패터닝된 에칭 마스크의 이격된 포지티브 피처들 사이의 공간들의 사이즈는 수직 성장 마스크의 이격된 포지티브 피처들 사이의 공간들의 사이즈의 약 100 % 이내이다.
다양한 실시 예들에서, 이격된 포지티브 피처들 사이의 공간들은 약 50:1 내지 약 100:1의 종횡비를 갖는다.
다양한 실시 예들에서, 2 개의 인접한 이격된 포지티브 피처들 사이의 공간들의 사이즈는 약 10 ㎚ 내지 약 200 ㎚이다.
다양한 실시 예들에서, 필드 영역들 상에 수직 성장 마스크를 선택적으로 증착하는 단계는 텅스텐-함유 가스에 필드 영역들을 노출하는 단계를 포함한다. 일부 실시 예들에서, 텅스텐-함유 가스는 희석 가스와 함께 전달된다. 희석 가스는 아르곤 가스, 수소 가스, 및 이들의 혼합물들 중 임의의 하나 이상일 수도 있다. 텅스텐-함유 가스는 텅스텐 할라이드일 수도 있다. 일부 실시 예들에서, 텅스텐 할라이드는 텅스텐 헥사플루오라이드 및 텅스텐 헥사클로라이드로 구성된 그룹으로부터 선택된다. 일부 실시 예들에서, 필드 영역들 상에 선택적으로 수직 성장 마스크를 증착하는 단계는 텅스텐-함유 가스를 포함하는 분위기에서 플라즈마를 점화하는 단계를 더 포함한다. 플라즈마는 약 100 W 내지 약 500 W의 플라즈마 전력으로 생성될 수도 있다.
다양한 실시 예들에서, 필드 영역들 상에 수직 성장 마스크를 선택적으로 증착하는 단계는 약 10 mTorr 내지 약 100 mTorr의 챔버 압력을 갖는 프로세스 챔버에서 수행된다.
다양한 실시 예들에서, 필드 영역들 상에 수직 성장 마스크를 선택적으로 증착하는 단계는 플라즈마 전력, 챔버 압력, 또는 기판 온도와 같은 프로세스 조건을 조절함으로써 수행된다.
다양한 실시 예들에서, 패터닝된 에칭 마스크는 약 5 ㎚ 내지 약 200 ㎚ 두께이다.
다양한 실시 예들에서, 패터닝된 에칭 마스크는 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택된 재료를 포함한다.
다양한 실시 예들에서, 패터닝된 에칭 마스크는 포토레지스트를 포함한다. 타겟 층은 반사 방지 코팅일 수도 있다. 다양한 실시 예들에서, 타겟 층은 약 500 ㎚ 내지 약 5000 ㎚ 두께이다.
또 다른 양태는: 타겟 층 위에 패터닝된 에칭 마스크를 갖는 반도체 기판을 제공하는 단계로서, 패터닝된 에칭 마스크는 이격된 포지티브 피처들을 포함하고, 이격된 포지티브 피처 각각은 필드 영역 및 측벽들을 갖는, 반도체 기판 제공 단계; 및 타겟 층에 대해 이격된 포지티브 피처들의 필드 영역들 상에 수직으로 선택적으로 성장된 텅스텐-함유 마스크를 증착하는 단계를 포함하는 방법을 수반한다.
또 다른 양태는: 탄소-함유 타겟 층, 반사 방지 층, 및 패터닝된 포토레지스트를 포함하는 반도체 기판을 제공하는 단계; 패터닝된 반사 방지 마스크를 형성하도록 반사 방지 층을 패터닝하는 단계; 반도체 기판을 텅스텐-함유 전구체에 노출하고, 패터닝된 반사 방지 마스크의 필드 영역들 상에 패터닝된 텅스텐-함유 마스크를 형성하도록 텅스텐-함유 마스크를 선택적으로 증착하기 위한 조건들 하에서 플라즈마를 점화하는 단계; 및 패터닝된 반사 방지 마스크 및 패터닝된 텅스텐-함유 마스크를 사용하여 탄소-함유 타겟 층을 패터닝하는 단계를 포함하는 방법을 수반한다.
또 다른 양태는: 타겟 층 및 제 1 임계 치수를 갖는 패터닝된 에칭 마스크를 포함하는 반도체 기판을 제공하는 단계; 및 제 2 임계 치수가 제 1 임계 치수의 150 % 이내이도록, 패터닝된 에칭 마스크 상에 제 2 임계 치수를 갖는 텅스텐 재료를 형성하기 위해 약 160 ℃ 미만의 기판 온도에서 텅스텐-함유 전구체 및 아르곤과 수소 가스의 혼합물로부터 약 100 W 내지 약 500 W의 플라즈마 전력을 사용하여 생성된 플라즈마에 반도체 기판을 노출하는 단계를 포함하는 방법을 수반한다.
또 다른 양태는: 기판을 홀딩하기 위한 페데스탈을 포함하는 반응 챔버; 반응 챔버에 커플링되고 플라즈마를 생성하도록 구성된 플라즈마 소스; 반응 챔버에 커플링된 하나 이상의 제 1 가스 유입구들; 반응 챔버에 커플링된 제 2 가스 유입구; 및 제어기로서, 텅스텐-함유 전구체 및 아르곤과 수소 가스의 혼합물의 도입을 유발하는 동작을 수행하기 위한 인스트럭션; 약 100 W 내지 약 500 W의 플라즈마 전력을 사용하여 플라즈마의 생성을 유발하는 동작을 수행하기 위한 인스트럭션; 및 페데스탈의 온도로 하여금 약 160 ℃ 미만으로 설정되게 하는 동작을 수행하기 위한 인스트럭션을 포함하는 제어기를 포함하는 장치를 수반한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 특정한 개시된 실시 예들에 따라 수행된 동작들을 도시하는 프로세스 흐름도이다.
도 2는 특정한 개시된 실시 예들에 따라 수행된 동작들을 도시하는 프로세스 흐름도이다.
도 3, 도 4, 도 5, 도 6a 및 도 6b, 도 7a 및 도 7b, 그리고 도 8a 내지 도 8c는 특정한 개시된 실시 예들의 동작들을 겪는 기판들의 개략적인 예시이다.
도 9는 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 10은 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 장치의 개략도이다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
반도체 디바이스 제조에서, 마스킹 방법들은 기판들을 패터닝하고 에칭하기 위해 사용된다. 기판 종횡비가 증가함에 따라, 매우 선택적인 하드마스크들에 대한 수요가 증가한다. 다양한 패터닝 스킴들은 타겟 층을 에칭하기 위한 마스크로서 사용될 유전체 스페이서들과 같은, 포지티브 피처들 (positive features) 의 형성을 수반한다. 그러나, 일부 유전체 스페이서들은 특히 유전체 스페이서들 사이의 갭들의 종횡비가 매우 크고 따라서 갭들의 깊이가 클 수도 있는 부식에 민감할 수도 있고, 이는 유전체 스페이서들 아래의 타겟 층을 에칭하기 위한 보다 긴 에칭 지속기간들을 발생시킬 수도 있다. 따라서, 일부 유전체 스페이서들은 타겟 층의 에칭 동안 부식될 수도 있다.
일부 방법들은 에칭 동안 에칭 마스크들의 부식을 방지하기 위해 존재하지만, 이러한 방법들은 에칭 마스크들 상에서 브레드로핑 (breadloafing) 성장을 발생시키는 PECVD (Plasma-Enhanced Chemical Vapor Deposition) 프로세스들을 수반하고, 따라서 마스크들 사이의 네거티브 피처들의 임계 치수를 감소시키고 피처 프로파일을 변화시킨다. 이는 매우 고 종횡비 피처들 및 긴 지속기간의 에칭 동작들에 관해 특히 우려된다.
이들 마스크들의 형성을 위해, 기법들은 타겟 층과 같은 하부 층을 에칭하는 단계와 에칭 동안 부식되는 마스크를 처리하기 위해 부가적인 마스크 재료를 증착하는 단계 사이를 교번하는 것을 수반하고, 이 동작들은 상이한 프로세스 가스들 및 가끔 상이한 툴들을 수반하고, 이는 웨이퍼가 스테이션에서 스테이션으로, 또는 심지어 툴에서 툴로 이송되는 프로세싱 시간을 연장시킨다.
마스크의 임계 치수를 실질적으로 보존하면서 패터닝된 기판의 필드 영역들 상에 마스크들을 증착하는 방법들이 본 명세서에 제공된다. 다양한 실시 예들에서, 마스크의 증착은 마스크의 네거티브 피처 내에 타겟 층을 선택적으로 에칭하는 동안 수행될 수 있다.
본 명세서에 기술된 수직 성장 마스크들은 마스크가 증착될 때 수평으로 증착이 거의 없거나 전혀 없도록 증착된다; 즉, 포지티브 피처의 필드 영역 상의 증착은 패터닝된 마스크의 포지티브 피처들 사이의 공간들의 사이즈를 변화시키지 않고 수직 성장 마스크의 측벽들이 거의 수직이 되게 한다. 증착은 포지티브 피처의 측벽들 상에 실질적으로 증착 없이 수행되고, 대부분의 또는 모든 증착은 필드 영역 상에서만 발생한다. 마스크가 수직으로 성장함에 따라, 부가적인 증착된 마스크 재료는 대체로 기존 마스크 재료 상에 증착되고 성장은 실질적으로 수직이다; 즉, 증착된 마스크의 측벽들 상에 마스크 재료가 거의 증착되지 않거나 전혀 증착되지 않고, 수직으로 성장된 마스크들은 특정한 적용 예들에 적절하게 다양한 두께들로 성장할 수 있다. 증착은 포지티브 피처들, 그리고 특히 이들 피처들의 상단부들 상에 새로운 재료를 우선적으로 형성한다는 점에서 선택적이다. 선택적인 증착은 실질적으로 수평으로 연장하지 않고 포지티브 피처들의 상단부 상에 수직으로 새로운 재료를 형성하는 방식으로 진행될 수도 있다. 따라서, 특정한 개시된 실시 예들의 일 특정한 장점은 상부에 증착된 수직으로 성장된 마스크가 증착되는 하부 에칭 마스크와 실질적으로 동일한 폭을 갖도록 피처 임계 치수 - 즉, 상부에 수직으로 성장된 마스크들이 증착되는 포지티브 피처들 사이의 공간의 폭 - 를 보존하는 능력이다. 명확성의 목적들을 위해, 상부에 수직으로 성장된 마스크들이 증착되는 재료는 "하부 에칭 마스크" 또는 "에칭 마스크"로 지칭되지만, 폴리머 재료, 유전체 재료, 및 반도체 재료를 포함하는 다양한 재료들이 에칭 마스크에 사용될 수 있다는 것이 이해된다. 에칭 마스크는 패터닝된 포토레지스트일 수도 있다는 것이 이해될 것이다. 에칭 마스크는 BARC (Bottom Anti-Reflective Coating) 층 또는 DARC (Dielectric Anti-Reflective Coating) 층과 같은 패터닝된 반사 방지 코팅일 수도 있다는 것이 또한 이해될 것이다. 용어 "수직으로 성장된 마스크"는 피처 임계 치수 및 마스크 폭의 보존을 발생시키는 하부 에칭 마스크 위에 증착된 재료를 지칭하도록 사용된다. 특정한 개시된 실시 예들의 장점은 형성된 마스크 구조체의 트리밍 (tri㎜ing) 또는 씨닝 (thinning) 을 방지하는 능력이다. 씨닝 또는 트리밍은 임계 치수의 2 %를 초과하는 임계 치수의 감소, 또는 측벽들의 임의의 리세션 (recession) 또는 거칠기에 의해 규정된다.
수직으로 성장된 마스크들은 텅스텐 금속과 같은 텅스텐-함유 재료들일 수도 있다. 많은 실시 예들에서, 텅스텐-함유 재료는 순수 금속 텅스텐이 아니고, 일부 불순물들을 함유할 수도 있다. 텅스텐이 재료의 일 예로서 본 명세서에 기술되지만, 수직 성장을 달성하기 위해 적절한 반응 물질들 및 프로세스 조건들을 채용함으로써 다른 금속-함유 재료들 또는 심지어 금속-프리 (metal-free) 재료들이 사용될 수도 있다는 것이 이해될 것이다.
또한 동시에 수직으로 성장된 마스크를 증착하는 한편 동일한 동작 동안 하부 층을 에칭하는 방법들이 본 명세서에 개시된다. 수직으로 성장된 마스크를 증착하기 위해 사용된 프로세스 조건들은 또한 전구체 가스들, 플라즈마 조건들, 온도, 및 압력을 포함하지만 이로 제한되지 않는 프로세스 조건들에 기판의 노출 각각이 하부 에칭 마스크 상의 수직으로 성장된 마스크의 형성뿐만 아니라 하부 에칭 마스크 아래의 하부 타겟 재료의 에칭 모두를 유발하도록, 반사 방지 층 또는 비정질 탄소 층과 같은 하부 타겟 재료를 에칭하도록 사용될 수 있다. 즉, 단일 프로세스가 동시에 필드 영역들 상에 수직 마스크를 형성하고 피처들에서 타겟 재료를 에칭한다.
개시된 실시 예들은 수직으로 성장된 마스크의 수직 성장, 하부 타겟 재료의 에칭, 또는 둘 모두를 달성하기 위해 프로세스 윈도우 및 하부 마스크 패턴의 기하구조를 선택하는 단계를 수반한다.
특정한 이론에 얽매이지 않고, 기하구조 선택도, 재료 선택도, 또는 둘 모두가 선택적인 수직 성장, 그리고 일부 경우들에서 하부 타겟 재료의 동시 에칭을 달성하는 특정한 개시된 실시 예들의 능력에 기여한다고 여겨진다. 기하구조 선택도는 제 2 기하학적 특성을 갖는 기판의 또 다른 영역에 비해 제 1 기하학적 특성을 갖는 기판의 일 영역 내에 보다 많은 재료를 증착함으로써 달성되는 선택도를 지칭하고, 영역들은 노출된 기판의 기하구조 또는 토포그래피 (topography) 에 기초하여 기판 상의 위치들로서 규정된다. 이 형태의 선택도는 증착을 선택적으로 향상시키거나 억제하기 위해 상이한 재료들을 필요로 하지 않고, 기하구조만을 기초로 한다. 다른 영역에 비해 일 영역에서 보다 두꺼운 증착은 영역 각각의 증착 레이트 (시간 단위에 걸쳐 증착된 두께) 에 의해 좌우된다. 기하구조 선택도는 기판 상의 네거티브 피처의 종횡비로 인해 달성될 수도 있고, 네거티브 피처의 측벽들 또는 하단 영역들 상 (즉, 네거티브 피처의 피처 개구부의 어느 측면 상에서든) 의 증착 레이트보다 큰 기판의 필드 영역 상의 증착 레이트를 발생시킬 수도 있다. 수직 성장은 하부 에칭 마스크에 의해 규정된 포지티브 피처들 사이의 네거티브 피처들의 고 종횡비로 인해 특정한 개시된 실시 예들에서 달성 가능하다고 여겨진다.
재료 선택도는 재료들의 화학적, 물리적, 형태학적, 등 (조성, 격자 구조, 또는 임의의 다른 특성) 차들로 인해 기판 상의 또 다른 재료에 비해 기판의 일 재료 상에 보다 많은 재료를 증착함으로써 달성된 선택도를 지칭한다; 즉, 예를 들어, 유전체 재료 상의 증착 레이트는 전도성 재료 상의 증착 레이트보다 클 수도 있다. 수직 성장은 하부 에칭 마스크의 표면 (또는 수직으로 성장된 마스크의 증착된 재료의 표면) 과 하부 에칭 마스크 아래에 있는 타겟 재료의 표면 사이의 재료 선택도로 인해 특정한 개시된 실시 예들에서 달성 가능할 수도 있다고 여겨진다. 부가적으로, 수직으로 성장된 마스크가 증착됨에 따라, 수직으로 성장된 마스크의 증착된 재료의 표면과 하부 에칭 마스크 및 하부 에칭 마스크 아래에 있는 타겟 재료 모두의 표면 사이의 재료 선택도로 인해 특정한 개시된 실시 예들에서 수직 성장이 달성 가능하다고 여겨진다. 이 형태의 선택도는 증착을 선택적으로 향상시키거나 억제하기 위해 상이한 표면 기하구조들을 필요로 하지 않고, 재료 차이들만을 기초로 한다. 물론, 일부 경우들에서, 선택도는 노출된 표면 상의 기하학적 차 및 조성 차의 조합에 의해 부여될 수 있다.
도 1은 특정한 개시된 실시 예들에 따라 수행된 동작들을 도시하는 프로세스 흐름도이다.
동작 120에서, 타겟 층 위에 패터닝된 에칭 마스크를 갖는 패터닝된 반도체 기판이 제공된다.
반도체 기판은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 도전 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들 (예를 들어, 구리, 알루미늄, 텅스텐, 코발트, 루테늄, 몰리브덴, 탄탈룸, 등) 을 포함한다.
패터닝된 에칭 마스크는 본 명세서에서 때때로 "하부 에칭 마스크"로 지칭된다. 패터닝된 에칭 마스크는 이격된 포지티브 피처들 (리세스들의 반의어) 을 포함할 수도 있다. 에칭 동안, 이격된 피처들은 타겟 층 바로 위 또는 타겟 층 위의 중간 층 상으로 기판으로 전사된다. 포지티브 피처들의 사이즈는 포지티브 피처의 단면에서 일 측벽으로부터 또 다른 측벽으로 가장 짧은 거리에서 포지티브 피처에 걸쳐 수평으로 측정된 폭인 임계 치수로서 특성화될 수도 있다. 포지티브 피처 각각은 측벽들 및 노출된 필드 영역을 포함한다. 노출된 필드 영역은 피처의 상단 수평 영역이다. 필드 영역은 패터닝된 포토레지스트와 같은, 패터닝된 에칭 마스크를 패터닝하도록 이전에 사용된 마스크에 의해 규정될 수도 있다; 에칭 동안, 패터닝된 에칭 마스크를 패터닝하도록 사용된 마스크는 제거되고, 패터닝된 에칭 마스크 피처들의 노출된 필드 영역을 남긴다. 다양한 실시 예들에서, 기판 상의 포지티브 피처들의 평균 임계 치수는 약 10 ㎚ 내지 약 200 ㎚이다.
인접한 피처들의 이격 거리는 마스크의 포지티브 피처들 사이의 제 1 공간의 중심으로부터 포지티브 피처의 다른 측면 상의 인접한 공간까지 측정되는, 피처들의 피치이다. 포지티브 피처들 사이의 거리는 포지티브 피처의 측벽으로부터 인접한 포지티브 피처의 측벽까지 측정될 때, 2 개의 포지티브 피처들 사이의 공간에 걸쳐 수평으로 측정된 "네거티브 피처 개구부"를 갖는 "네거티브 피처"로 지칭될 수도 있다. 특정한 실시 예들에서, 네거티브 피처들은 적어도 약 1:1, 또는 약 50:1 내지 약 100:1의 평균 종횡비를 갖는다. 일부 실시 예들에서, 다양한 피처 사이즈들 및/또는 종횡비들이 기판의 표면에 걸쳐 존재할 수도 있다는 것이 이해될 것이다. 일부 실시 예들에서, 동일하고 그리고/또는 유사한 피처 사이즈들이 기판의 표면에 걸쳐 존재할 수도 있다는 것이 또한 이해될 것이다.
특정한 실시 예들에서, 패터닝된 에칭 마스크는 약 5 ㎚ 내지 약 3000 ㎚의 평균 두께를 갖는다. 패터닝된 에칭 마스크의 평균 두께는 피처 각각에 대한 포지티브 피처의 필드 영역으로부터 마스크 아래 바로 인접한 층의 상단부까지 수직으로 측정된 두께들의 평균을 취하여 측정된다.
특정한 실시 예들에서, 패터닝된 에칭 마스크는 유전체 재료, 실리콘, 탄소, 또는 폴리머 재료이다.
일부 실시 예들에서, 유전체 재료는 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드 (SiON), 또는 이들의 조합을 포함한다. 열적 기법들에 의해 또는 플라즈마 보조 기법들에 의해, 실리콘-함유 전구체 및 하나 이상의 산소-함유 및/또는 질소-함유 반응 물질들을 사용하여 유전체 재료가 증착될 수도 있다. 예로서, 유전체 재료는 선택 가능한 플라즈마와 함께 플루오로카본-함유 화학 물질을 사용하여 에칭될 수도 있다.
"실리콘 옥사이드"는 정수 값들의 x와 y 및 비정수 값들의 x와 y를 포함하여, SixOy에 대한 임의의 그리고 모든 화학량론적 가능성들을 포함하는 것으로 본 명세서에서 참조된다. 예를 들어, "실리콘 옥사이드"는 화학식 SiOn을 갖는 화합물들을 포함하고, 여기서 1 ≤ n ≤ 2이고, 여기서 n은 정수 값 또는 비정수 값일 수 있다. "실리콘 옥사이드"는 SiO1.8과 같은 아화학량론적 (sub-stoichiometric) 화합물들을 포함할 수 있다. "실리콘 옥사이드"는 또한 실리콘 다이옥사이드 (SiO2) 및 실리콘 모노옥사이드 (SiO) 를 포함한다. "실리콘 옥사이드"는 또한 천연 및 합성 변형들 모두를 포함하고, 또한 중심 실리콘 원자를 둘러싸는 산소 원자들의 사면체 배위를 포함하는 임의의 그리고 모든 결정질 및 분자 구조들을 포함한다. "실리콘 옥사이드"는 또한 비정질 실리콘 옥사이드 및 실리케이트들을 포함한다.
"실리콘 나이트라이드"는 x = 3 및 y = 4와 같은 정수 값들의 x와 y 및 비정수 값들의 x와 y를 포함하여, SixNy에 대한 임의의 그리고 모든 화학량론적 가능성들을 포함하는 것으로 본 명세서에서 참조된다. 예를 들어, "실리콘 나이트라이드"는 화학식 SiNn을 갖는 화합물들을 포함하고, 여기서 1 ≤ n ≤ 2이고, 여기서 n은 정수 값 또는 비정수 값일 수 있다. "실리콘 나이트라이드"는 SiN1.8과 같은 아화학량론적 화합물들을 포함할 수 있다. "실리콘 나이트라이드"는 또한 Si3N4 및 미량 및/또는 중간량 (interstitial) 의 수소를 갖는 실리콘 나이트라이드 (SiNH) 및 미량 및/또는 중간량의 산소를 갖는 실리콘 나이트라이드 (SiON) 를 포함한다. "실리콘 나이트라이드"는 또한 천연 및 합성 변형들 모두를 포함하고, 또한 삼각 알파-실리콘 나이트라이드, 육각형 베타-실리콘 나이트라이드, 및 입방 감마-실리콘 나이트라이드를 포함하는 임의의 그리고 모든 격자, 결정, 및 분자 구조들을 포함한다. "실리콘 나이트라이드"는 또한 비정질 실리콘 나이트라이드를 포함하고, 미량의 불순물들을 갖는 실리콘 나이트라이드를 포함할 수 있다.
일부 실시 예들에서, 폴리머 재료는 화학식 C x H y O z 를 갖고, 스핀-온 방법들에 의해 미리 증착될 수도 있고 산소 및 플루오로카본 가스를 포함하는 에칭 화학 물질 및/또는 플라즈마를 사용하여 패터닝될 수도 있다. 일부 실시 예들에서, 폴리머 재료는 방사선에 노출시키고 EUV 리소그래피와 같은 리소그래피 기법을 사용하여 현상함으로써 패터닝된 포토레지스트이다.
일부 실시 예들에서, 패터닝된 에칭 마스크는 패터닝된 포토레지스트이다.
일부 실시 예들에서, 패터닝된 에칭 마스크는 마스크로서 현상된 포토레지스트를 사용하여 이전에 패터닝되었을 수도 있다. 패터닝된 에칭 마스크는 일부 실시 예들에서 반사 방지 층일 수도 있고, 반사 방지 층을 포함할 수도 있다. 예를 들어, 패터닝된 에칭 마스크는 BARC 층일 수도 있고, 또는 DARC 층일 수도 있다.
동작 140에서, 수직 성장 마스크가 패터닝된 에칭 마스크의 노출된 필드 영역들 상에 증착된다. 수직 성장 마스크는 패터닝된 에칭 마스크의 측벽들, 또는 마스크가 증착될 때 수직 성장 마스크의 측벽들 상에 실질적으로 증착되지 않고 증착된 마스크 두께가 시간에 따라 증가하도록, 전구체들 및 프로세스 조건들을 사용하여 증착된다. 이 거동은 "수직 성장"으로 지칭될 수도 있지만, "수직"은 기판이 수평으로 배향된 활성 표면을 갖게 배향될 때의 현상을 기술하기 위한 용어로서만 사용된다는 것이 이해될 것이다. 일부 실시 예들에서, 전구체 및 프로세스 조건들은 수직 성장 마스크 내에 증착된 재료의 프로파일을 튜닝하도록 선택될 수도 있다. 일부 실시 예들에서, 수직 성장 마스크는 패터닝된 텅스텐-함유 마스크이다.
수직 성장 마스크는 금속-함유 재료, 또는 비금속 재료일 수도 있다. 수직 성장 마스크를 위한 예시적인 재료들은 실리콘과 같은 실리콘-함유 재료, 탄소-함유 재료, 붕소-함유 재료, 티타늄-함유 재료, 루테늄-함유 재료, 및 텅스텐 금속, 또는 도핑된 텅스텐 금속과 같은, 텅스텐-함유 재료를 포함한다.
수직 성장 마스크는 하나 이상의 프로세스 가스들을 도입하고 플라즈마를 점화함으로써 증착될 수도 있다. 사용된 하나 이상의 프로세스 가스들은 수직 성장 마스크를 위해 증착될 재료에 따른다. 다양한 실시 예들에서, 하나 이상의 프로세스 가스들은 금속-함유 가스를 포함한다. 일부 실시 예들에서, 금속-함유 가스는 금속 할라이드이다. 일부 실시 예들에서, 금속-함유 가스는 금속 카르보닐 가스이다. 금속 함유 가스는 텅스텐-함유 가스를 포함할 수도 있다. 예시적인 텅스텐-함유 가스들은 텅스텐 펜타클로라이드, 텅스텐 헥사클로라이드, 텅스텐 펜타플루오라이드, 및 텅스텐 펜타클로라이드와 같은 텅스텐 할라이드를 포함한다. 일부 실시 예들에서, 금속-함유 가스는 티타늄 클로라이드 (TiCl4) 와 같은 티타늄-함유 가스를 포함한다. 일부 실시 예들에서, 금속-함유 가스는 트리루테늄 도데카카르보닐 (Ru3(CO)12) 과 같은 루테늄-함유 가스를 포함한다. 다양한 실시 예들에서, 하나 이상의 프로세스 가스들은 탄소-함유 가스 또는 붕소-함유 가스를 포함한다. 예시적인 탄소-함유 가스들은 메탄 (CH4), 아세틸렌 (C2H2), 및 프로필렌 (C3H6) 을 포함한다. 예시적인 붕소-함유 가스들은 디보란 (B2H6) 을 포함한다. 다양한 실시 예들에서, 텅스텐-함유 가스가 텅스텐-함유 수직 성장 마스크를 증착하도록 사용된다. 다양한 실시 예들에서, 탄소-함유 가스가 탄소-함유 수직 성장 마스크를 증착하도록 사용된다. 다양한 실시 예들에서, 붕소-함유 가스가 붕소-함유 수직 성장 마스크를 증착하도록 사용된다. 다양한 실시 예들에서, 티타늄-함유 가스가 티타늄-함유 수직 성장 마스크를 증착하도록 사용된다. 다양한 실시 예들에서, 루테늄-함유 가스가 루테늄-함유 수직 성장 마스크를 증착하도록 사용된다.
일부 실시 예들에서, 플라즈마는 하나 이상의 프로세스 가스들을 사용하는 분위기에서 점화된다. 예를 들어, 일부 실시 예들에서, 플라즈마는 텅스텐-함유 가스 분위기에서 점화될 수도 있다. 플라즈마-기반 증착 프로세스들이 본 명세서에 기술되지만, 일부 실시 예들에서, 플라즈마-프리 (plasma-free) 열적 프로세스가 챔버 압력, 가스 플로우, 온도, 등과 같은 프로세스 조건들을 선택함으로써 사용될 수도 있다.
일부 실시 예들에서, 하나 이상의 프로세스 가스들은 수직 성장 마스크의 재료에 따라, 실리콘-함유 가스 또는 탄소-함유 가스와 같은 비금속 가스를 포함한다.
수직 성장 마스크를 증착하기 위한 하나 이상의 프로세스 가스들은 하나 이상의 캐리어 가스들 및/또는 희석 가스들과 함께 전달될 수도 있다. 일부 실시 예들에서, 캐리어 가스들은 기판을 하우징하는 프로세스 챔버 내로 전달되기 전에 방향 전환될 수도 있다. 일부 실시 예들에서, 캐리어 가스들은 하나 이상의 프로세스 가스들과 함께 프로세스 챔버 내로 전달된다. 예시적인 캐리어 가스들은 아르곤, 수소, 헬륨, 및 이들의 조합들을 포함한다.
캐리어 가스와 동일한 조성일 수도 있는 희석 가스들은, 수직 성장 마스크를 증착하기 위해 프로세스 가스들과 함께 사용될 수 있고 또는 별도의 동작으로서 도입될 수 있다. 희석 가스들은 수직 성장 마스크를 증착하기 위해 금속 할라이드를 사용하는 것으로부터 분해될 수 있는 할로겐 종에 의한 에칭 또는 트리밍을 제한하도록 사용될 수 있다. 희석 가스들은 할로겐 종의 청소 (scavenging) 를 용이하게 하도록 플라즈마로 점화될 수도 있다.
수직 성장 마스크를 증착하기 위해 전달된 가스들의 조성은 증착된 수직 성장 마스크의 증착 프로파일에 영향을 줄 수도 있다. 특히, 프로세스 가스들의 비는 수직 성장 마스크의 방향성 및 성장 레이트를 조절하도록 사용될 수 있다. 예를 들어, 일부 실시 예들에서, 패터닝된 에칭 마스크의 임계 치수의 약 150 % 이내의 임계 치수를 갖는 수직으로 증착된 수직 성장 마스크를 달성하기 위한 텅스텐 헥사플루오라이드 대 수소의 비는 약 1:0 내지 약 1:5이다.
특히, 일부 실시 예들에서, 수직 성장 마스크는 아르곤 및 수소의 혼합물과 함께 텅스텐 헥사플루오라이드를 도입하고 플라즈마를 점화함으로써 증착될 수도 있다.
플라즈마는 패터닝된 마스크의 필드 영역들의 프로세스 가스 분위기의 플라즈마로의 노출이 시간에 따라 수직 성장 마스크의 두께의 증가를 발생시키도록 선택된 플라즈마 전력 및 주파수를 포함하지만 이로 제한되지 않는 플라즈마 조건들을 사용하여 점화되지만, 수직 성장 마스크의 임계 치수는 패터닝된 에칭 마스크의 임계 치수의 약 10 % 이내 또는 약 5 % 이내와 같이, 실질적으로 동일하다.
일부 실시 예들에서, 플라즈마가 점화되고, 프로세스 가스가 증착 프로세스를 위해 패터닝된 에칭 마스크의 필드 영역과 반응한다. 일부 실시 예들에서, 프로세스 가스는 기판과 반응하거나, 패터닝된 에칭 마스크의 필드 영역의 표면 상에 흡착된다. 다양한 실시 예들에서, 증착은 패터닝된 에칭 마스크의 측벽들 상에서보다 패터닝된 에칭 마스크의 필드 영역들 상에서 보다 빠르게 발생하도록 우선적이거나 선택적이다. 일부 실시 예들에서, 패터닝된 에칭 마스크의 측벽들 상에 증착이 실질적으로 없다. 다양한 실시 예들에서, 프로세스 가스는 가스 형태로 챔버 내로 도입되고, 선택 가능하게 아르곤, 헬륨, 수소, 또는 이들의 조합들과 같은 캐리어 가스가 동반될 수도 있다. 프로세스 가스 플라즈마로부터 생성된 종은 기판을 하우징하는 프로세스 챔버 내에서 플라즈마를 형성함으로써 직접적으로 생성될 수 있거나 기판을 하우징하지 않는 프로세스 챔버에서 리모트로 생성될 수 있고, 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다.
일부 실시 예들에서, 플라즈마가 챔버 내에서 기판 표면 바로 위에 형성되도록, 플라즈마는 인 시츄 (in situ) 로 형성된다. 다양한 실시 예들에서, 플라즈마는 유도 결합 플라즈마 또는 용량 결합 플라즈마이다. 일부 실시 예들에서, 약 0 V 내지 약 500 V의 바이어스가 대전된 플라즈마 종으로 하여금 기판을 향해 방향성으로 이동하게 하도록 기판을 홀딩하는 페데스탈에 인가된다.
다양한 실시 예들에서, 단일 웨이퍼에 대한 플라즈마 전력은 약 100 W 내지 약 500 W이다. 플라즈마는 고 주파수 플라즈마 및/또는 저 주파수 플라즈마를 사용하여 생성될 수도 있다.
동작 140 동안, 기판 온도는 약 20 ℃ 내지 약 80 ℃의 온도로 설정될 수도 있다. 기판 온도는 반도체 기판을 홀딩하는 페데스탈이 기판 자체를 가열하거나 냉각하기 위해 설정되는 온도이고, 임의의 미리 결정된 시간에 기판 자체의 정확한 온도일 필요는 없을 수도 있다는 것이 이해될 것이다.
동작 140은 약 10 mTorr 내지 약 100 mTorr의 챔버 압력으로 설정된 프로세스 챔버에서 수행될 수도 있다. 압력은 증착 레이트 및 특정한 증착 프로파일들을 달성하기 위해 목표된 바와 같이 조절될 수도 있다는 것이 이해될 것이다. 일부 실시 예들에서, 압력은 수직 성장 마스크의 임계 치수가 패터닝된 에칭 마스크의 임계 치수의 약 150 % 이내이도록 대체로 수직 성장을 달성하도록 조절된다.
다양한 실시 예들에서, 증착 레이트, 증착 프로파일, 및 증착의 방향성 (즉, 증착된 수직 성장 마스크에 대한 특정한 형상들을 달성하는 것) 은 플라즈마 전력, 챔버 압력, 기판 온도 및/또는 가스 플로우 조성 및/또는 수직 성장 마스크를 증착하도록 흐르는 가스들의 플로우 레이트들 및/또는 플로우 레이트들의 비와 같은 프로세스 조건을 조절함으로써 달성된다. 증착의 선택도는 또한 수직 성장 마스크가 증착되는 재료 및 기판의 다른 노출된 영역들에 종속된다.
예를 들어, 텅스텐 수직 성장 마스크의 증착은 노출된 탄소-함유 재료에 대해 DARC 재료 상에서 달성될 수 있다. 특히, 텅스텐 수직 성장 마스크의 증착은 노출된 비정질 탄소 재료에 비해 실리콘 옥시나이트라이드 재료 상에서 달성될 수 있다. 일부 실시 예들에서, 수직 성장 마스크의 증착은 DARC 재료에 비해 폴리머 BARC 재료 상에서 달성될 수 있다.
선택적인 증착은 또한 특정한 피처 기하구조를 사용하여 달성될 수 있다. 예를 들어, 증착 전에 패터닝된 에칭 마스크의 포지티브 피처들 사이의 공간들의 종횡비들은 약 50:1 내지 약 200:1의 범위일 수 있다. 수직 성장 마스크의 선택적인 증착은 증착 전에 패터닝된 에칭 마스크의 포지티브 피처들 사이의 네거티브 피처들의 폭이 약 10 ㎚ 내지 약 200 ㎚인 경우에 달성될 수 있다. 수직 성장 마스크의 선택적인 증착은 패터닝된 에칭 마스크의 포지티브 피처들 사이의 네거티브 피처들의 깊이가 적어도 약 20 ㎚인 경우에 달성될 수 있다.
동작 140은 하나 이상의 프로세스 가스들 및 하나 이상의 캐리어 가스들을 동시에 도입하고 플라즈마-강화된 화학적 기상 증착-타입 프로세스에서 플라즈마를 점화함으로써 수행될 수도 있다.
동작 140은 하나 이상의 프로세스 가스들 및 하나 이상의 캐리어 가스들을 교번하는 펄스들로 도입하고 PEALD (Plasma-Enhanced Atomic Layer Deposition) 타입 프로세스에서 프로세스 가스 도입 또는 캐리어 가스 도입 중 적어도 하나 동안 또는 둘 모두에서 플라즈마를 점화함으로써 수행될 수도 있다.
사이클을 반복함으로써 교번적인 펄싱 증착이 수행된다. 사이클은 제 1 가스의 일 펄스 및 제 2, 상이한 가스의 일 펄스를 포함할 수도 있고, 제 2 가스는 제 1 가스의 펄스 동안 흐르지 않고 제 1 가스는 제 2 가스의 펄스 동안 흐르지 않는다.
일 예에서, 동작 140 동안 수행된 증착 사이클은 텅스텐 헥사플루오라이드의 펄스 및 아르곤/수소 혼합물의 펄스이다.
증착 사이클의 펄스 각각은 특정한 지속기간일 수 있다. 제 1 가스의 펄스들 및 제 2 가스의 펄스는 상이한 지속기간들을 가질 수도 있다. 제 1 가스의 펄스와 제 2 가스의 펄스는 동일한 지속기간을 가질 수도 있다. 일 예에서, 텅스텐 헥사플루오라이드의 펄스는 약 100 밀리초 내지 약 10 초일 수도 있는 한편, 아르곤/수소 혼합물의 펄스는 약 100 밀리초 내지 약 10 초일 수도 있다.
일부 실시 예들에서, 제 2 가스는 기판을 청소하거나 처리하기 위해 사용된다. 예를 들어, 일부 실시 예들에서, 텅스텐 헥사플루오라이드에 대한 특정한 패터닝된 에칭 마스크들의 연장된 노출은 패터닝된 에칭 마스크의 열화를 발생시킬 수도 있고, 그리고/또는 하부 타겟 층의 연장된 노출은 타겟 층의 열화를 발생시킬 수도 있다. 텅스텐 헥사플루오라이드를 도입하는 것과 기판의 표면들을 청소할 수 있는 캐리어 가스 또는 캐리어 가스들의 혼합물을 도입하는 것 사이를 교번하는 것은 패터닝된 에칭 마스크 및 타겟 층의 원치 않은 에칭 또는 트리밍을 방지할 수 있다. 캐리어 가스의 펄스 동안, 플라즈마가 점화될 수도 있다.
순환적 증착의 다양한 실시 예들에서, 적어도 약 2 사이클들, 또는 약 3 사이클들 내지 약 20 사이클들과 같은, 프로세스 가스 및 캐리어 가스의 교번하는 펄스들의 다양한 사이클들이 수행된다. 부가적으로, 펄스 각각의 지속기간이 가변할 수도 있기 때문에, 사이클들의 수는 수직 성장 마스크의 목표된 두께뿐만 아니라 펄스 각각의 지속기간에 종속될 수도 있다.
일부 실시 예들에서, 프로세스 조건들은 목표된 증착 프로파일에 따라 사이클마다 (from cycle to cycle) 가변할 수도 있다.
도 1을 다시 참조하면, 동작 160에서, 패터닝된 에칭 마스크 하부 타겟 층이 패터닝된 에칭 마스크 및 증착된 수직 성장 마스크를 마스크로서 사용하여 에칭된다. 패터닝된 에칭 마스크 및 증착된 수직 성장 마스크는 유사한 임계 치수들을 갖는 한편 피치가 동일하게 유지되고 본질적으로 마스크의 피처들 사이의 공간들이 패터닝된 에칭 마스크 재료 및 수직 성장 마스크 재료 모두를 포함하는 측벽들이 측면에 배치된다 (flank). 에칭은 타겟 층의 에칭 레이트가 수직 성장 마스크의 에칭 레이트보다 실질적으로 크도록 타겟 층을 에칭하기 위해 선택적인 화학 물질을 사용하여 마스크로서 이들 피처들을 사용하여 수행된다. 에칭 레이트는 사용된 에칭 가스들의 조성 및 프로세스 조건들에 종속된다. 에칭 가스들은 타겟 층의 재료 및 타겟 층이 에칭될 수도 있는 깊이에 종속된다.
일 예에서, 타겟 층은 비정질 탄소 층이고, 사용된 에칭 가스는 CxFyHz의 화학식을 갖는 플루오로카본을 포함하고, 여기서 x는 1 내지 4, y는 1 내지 8, 그리고 z는 1 내지 6이다. 에칭 동안 플라즈마가 점화될 수도 있다. 플라즈마는 단일 웨이퍼에 대해 약 50 W 내지 약 3000 W의 플라즈마 전력을 사용하여 점화될 수도 있다. 300 W의 플라즈마 전력을 사용하여 점화된 CF4 플라즈마를 사용하는 비정질 탄소의 에칭 레이트는 텅스텐 수직 성장 마스크의 에칭 레이트보다 적어도 약 1.5 배 클 수도 있다.
에칭은 타겟 층이 마스크보다 빠르게 에칭하도록 선택적일 수도 있지만, 일부 실시 예들에서, 수직 성장 마스크는 타겟 층에 대한 에칭 화학 물질로의 연장된 노출을 통해 열화될 수도 있다는 것이 이해될 것이다. 다양한 실시 예들에서, 타겟 층의 에칭은 동작 140을 반복함으로써 부가적인 수직 성장 마스크를 증착하기 위해 일시적으로 중단될 수도 있고 이어서 동작 160에서 에칭을 계속한다.
일부 실시 예들에서, 동작 140 및 동작 160은 동시에 수행된다. 즉, 일부 실시 예들에서, 수직 성장 마스크의 증착은 프로세스 가스들의 선택을 도입하고 타겟 층을 에칭하는 동안 수직 성장 마스크의 공핍을 감소시키기 위해 온도 및 플라즈마 전력을 포함하는 프로세스 조건들을 조절함으로써 타겟 층을 에칭하는 동안 발생할 수 있다. 구체적인 예들은 이하에 더 기술된다.
동시에 타겟 층을 에칭하고 수직 성장 마스크를 증착하는 것은 복수의 장점들을 갖는다. 먼저, 수직 성장 마스크를 증착하기 위해 사용된 가스들은 타겟 층을 에칭하기 위해 사용된 에칭 가스들을 흘리는 동안 타겟 층을 에칭하기 위해 사용된 동일한 챔버로 전달될 수 있고, 이에 따라 효율을 상승시키고 챔버들 사이, 스테이션들 사이, 또는 마스크를 형성하기 위한 툴들과 타겟 층을 에칭하기 위한 툴들 사이에서도 웨이퍼의 이동을 방지한다. 둘째, 수직 성장 마스크 증착 가스 화학 물질들이 연속적으로 도입되기 때문에, 타겟 층을 에칭할 때 열화된 마스크를 가질 위험이 거의 없다. 이는 매우 두꺼운 재료들의 에칭 동안 마스크 열화 없이 에칭 화학 물질에 대한 긴 노출 시간들을 수반하는 매우 두꺼운 재료들의 에칭을 허용할 수 있다. 예를 들어, 에칭될 타겟 층은 약 500 ㎚ 내지 약 5000 ㎚의 두께를 가질 수 있다. 셋째, 프로세스 조건들은 수직 성장 마스크의 증착으로 하여금 패터닝된 에칭 마스크의 임계 치수와 실질적으로 동일한 임계 치수를 갖게 하도록 신중하게 맞춤되어 (tailor), 타겟 층이 수직 성장 마스크의 증착 가스들에 노출되더라도, 수직 성장 마스크는 패터닝된 에칭 마스크의 필드 영역들 상에만, 또는 증착된 수직 성장 마스크의 필드 영역들 상에만 증착되고, 패터닝된 에칭 마스크 또는 수직 성장 마스크 사이의 공간들의 측벽들 상에 증착이 거의 없거나 전혀 없다.
수직 성장 마스크를 동시에 에칭하고 증착하는 것은 타겟 층의 에칭 동안 열화를 방지하기 위해 수직 성장 마스크의 두께를 유지하면서 타겟 층의 허용 가능한 에칭 레이트를 달성하도록 프로세스 조건들 및 가스 플로우들을 조절하는 것을 수반한다. 일부 실시 예들에서, 동작 140 및 동작 160을 동시에 수행하는 것은 타겟 층을 에칭하기에 적합한 하나 이상의 가스들 및 수직 성장 마스크를 증착하기에 적합한 하나 이상의 가스들을 함께 도입하는 것을 수반한다. 타겟 층을 에칭하기에 적합한 하나 이상의 가스들은 동작 160에 대해 상기 기술되었다. 수직 성장 마스크를 증착하기에 적합한 하나 이상의 가스들은 동작 140에 대해 상기 기술되었다. 일부 실시 예들에서, 타겟 층을 에칭하기에 적합한 하나 이상의 가스들은 플루오로카본 가스를 포함한다. 일부 실시 예들에서, 수직 성장 마스크를 증착하기에 적합한 하나 이상의 가스들은 텅스텐-함유 가스를 포함한다. 다양한 실시 예들에서, 플루오로카본 가스 플로우 레이트 대 텅스텐-함유 가스 플로우 레이트의 비는 타겟 층의 에칭 레이트 및 수직 성장 마스크의 증착 레이트에 영향을 준다. 다양한 실시 예들에서, 타겟 층이 비정질 탄소이고 수직 성장 마스크가 SiON 패터닝된 에칭 마스크 상에 증착된 텅스텐 마스크인 경우, 탄소 테트라플루오라이드 가스 플로우 레이트 대 텅스텐 헥사플루오라이드 가스 플로우 레이트의 비는 약 20:1 내지 약 1:1이다. 일부 실시 예들에서, 플루오로카본 가스는 전달된 모든 가스들의 총 플로우 레이트들의 약 80 % 미만의 플로우 레이트로 전달된다. 다양한 실시 예들에서, 동시 에칭 및 증착은 수직 성장 마스크의 0.5 ㎚/초의 순 증착 레이트에 대해 타겟 층의 1 ㎚/초의 순 에칭 레이트를 발생시킬 수 있다.
일 예에서, 타겟 층은 비정질 탄소이고 수직 성장 마스크는 50 ㎚의 임계 치수를 갖는 SiON 패터닝된 에칭 마스크 상에 증착된 텅스텐 마스크이고, 그리고 수직 성장 마스크는 60 ℃의 기판 온도 및 20 mTorr의 챔버 압력에서 3 사이클을 수행함으로써 증착되고, 사이클 각각은 10 초의 지속기간 동안 30 sccm의 플로우 레이트로 텅스텐 헥사플루오라이드의 일 펄스, 및 아르곤 플로우 레이트가 200 sccm이고 수소 플로우 레이트가 200 sccm일 때 60 ℃의 기판 온도 및 20 mTorr의 챔버 압력에서 300 W의 플라즈마 전력으로 점화된 아르곤/수소 플라즈마의 일 펄스를 포함한다. 증착된 수직 성장 마스크는 SiON 패터닝된 에칭 마스크의 측벽들 상에 증착 없이, 50 ㎚의 두께를 갖는 50 ㎚의 임계 치수를 갖는다.
또 다른 예에서, 타겟 층은 비정질 탄소이고, 수직 성장 마스크는 50 ㎚의 임계 치수를 갖는 SiON 패터닝된 에칭 마스크 상에 증착된 텅스텐 마스크이다. 비정질 탄소 층은 약 10 sccm의 플로우 레이트로 텅스텐 헥사플루오라이드, 50 sccm의 플로우 레이트로 플루오로카본 가스 (예를 들어, CH2F2 및 SF6) 를 도입하고, 그리고 40 ℃의 기판 온도 및 10 mTorr의 챔버 압력에서 300 W의 플라즈마 전력으로 헬륨 플로우 레이트가 300 sccm인 헬륨 플라즈마를 점화함으로써 수직 성장 마스크가 증착되는 동안 에칭된다. 증착된 수직 성장 마스크는 SiON 패터닝된 에칭 마스크의 측벽들 상에 증착 없이, 50 ㎚의 두께를 갖는 50 ㎚의 임계 치수를 갖고, 에칭된 비정질 탄소 층의 양은 약 20 Å 미만이다.
일부 실시 예들에서, 동작 140 및 동작 160은 동작 140에 이어서 동작 160을 포함하는 사이클을 반복하고 사이클을 복수 회 반복함으로써, 교번하는 순차적인 동작들로서 수행된다. 일부 실시 예들에서, 동작 140이 동작 160 동안 열화되는 수직 성장 마스크를 보충하게 기능하도록, 동작 140은 특정한 지속기간 동안 동작 160이 수행된 후 수행된다.
구체적인 예들은 이하에 더 기술된다.
도 2는 특정한 개시된 실시 예들에 따라 수행된 동작들을 도시하는 프로세스 흐름도이다. 도 2는 패터닝된 포토레지스트의 패턴을 반사 방지 층으로 전사하고, 반사 방지 층 및 수직 마스크 모두를 포함하는 다층 마스크를 형성하도록 패터닝된 반사 방지 마스크 상에 수직 마스크를 선택적으로 증착하고, 그리고 다층 마스크를 사용하여 타겟 층을 에칭하기 위한 예시적인 프로세스 플로우를 제공한다.
동작 220에서, 타겟 층 위의 반사 방지 층 상에 패터닝된 포토레지스트를 갖는 패터닝된 반도체 기판이 제공된다. 일부 실시 예들에서, 2 개 이상의 반사 방지 층, 뿐만 아니라 캡 층들, 배리어 층들, 등과 같은 다른 층들이 기판 상에 있을 수도 있다는 것이 이해될 것이다.
도 3은 동작 220에서 제공될 수도 있는 예시적인 반도체 기판의 개략적인 예시이다. 특정한 재료들이 본 명세서에 기술되고 특정한 스택이 도 3에 도시되지만, 다른 반도체 기판이 도 2의 동작 시 제공될 수도 있다는 것이 이해될 것이다.
도 3은 임의의 적합한 재료일 수도 있는 타겟 층 (300) 을 포함한다. 일부 실시 예들에서, 타겟 층 (300) 은 비정질 탄소 층일 수도 있다. 타겟 층 (300) 상부에 DARC 층 (302) 이 있고, 이는 일부 실시 예들에서, 실리콘 옥사이드, 실리콘 나이트라이드, 및 실리콘 옥시나이트라이드 중 임의의 하나 이상과 같은 실리콘-함유 재료일 수도 있다. 스핀-온 방법들에 의해 증착된 폴리머 재료일 수도 있는 BARC 층 (305) 이 DARC 층 (302) 위에 있다. BARC 층 (305) 의 상단 표면은 리소그래피로 패터닝될 수도 있고 실리콘, 탄소, 또는 둘 모두를 포함할 수도 있는 패터닝된 포토레지스트 (307) 를 포함한다.
도 2를 다시 참조하면, 동작 230에서, 패터닝된 에칭 마스크를 형성하기 위해 반사 방지 층이 에칭된다. 동작 230은 층의 재료에 따라, 반사 방지 층을 에칭하기에 적합한 하나 이상의 에칭 가스들을 제공하고, 선택 가능하게 플라즈마를 점화하고 바이어스를 인가하는 것을 수반할 수도 있다. 에칭은 하부 층의 에칭을 방지하기 위한 반사 방지 층의 하부 층에 대해 선택적이다.
도 4 및 도 5는 동작 230에서 반사 방지 층을 에칭한 후의 예시적인 반도체 기판들의 개략적인 예시들이다. 도 4는 타겟 층 (300) 및 DARC 층 (302) 을 갖는 기판을 도시하고, 여기서 도 3의 BARC 층 (305) 은 패터닝된 BARC 층 (405) 을 형성하도록 에칭된다. 이는 패터닝된 BARC 층 (405) 을 포함하는 패터닝된 에칭 마스크를 형성하고, 이는 이어서 DARC 층 (302) 과 같은 하부 층을 에칭하도록 사용될 수 있다.
일부 실시 예들에서, 동작 230은 또한 DARC 층 (302) 을 에칭하는 것을 포함한다. 도 5는 타겟 층 (300) 을 갖는 기판을 도시하고, 여기서 패터닝된 BARC 층 (405) 을 형성하기 위해 BARC 층 (305) 이 에칭된 후, DARC 층 (302) 이 패터닝된 DARC 층 (502) 을 형성하도록 에칭된다. 패터닝된 포토레지스트 (307) 는 상이한 반사 방지 층들의 에칭으로부터 제거되고 그리고/또는 열화된다. 이는 패터닝된 BARC 층 (405) 및 패터닝된 DARC 층 (502) 모두를 포함하는 패터닝된 에칭 마스크를 형성한다.
도 2를 다시 참조하면, 동작 240에서, 수직 성장 마스크가 동작 230에서 형성된 패터닝된 에칭 마스크의 노출된 필드 영역들 상에 증착된다. 동작 240은 도 1에 대해 상기 기술된 바와 같이, 동작 140에 대해 상기 기술된 임의의 적합한 프로세스 가스들, 프로세스 조건들, 및 다른 특징들을 사용하여 수행될 수도 있다. 예를 들어, 수직 성장 마스크는 플라즈마를 점화하는 동안 텅스텐-함유 전구체 및 아르곤과 수소 가스 혼합물의 교번하는 펄스들의 하나 이상의 사이클들을 사용하여 증착될 수도 있다.
도 6a 및 도 6b는 수직 마스크의 증착이 타겟 층 (300) 상에 증착되지 않고, 타겟 층 (300) 을 에칭하지 않고 선택적으로 형성되는 예를 도시한다. 도 6a는 기판이 타겟 층 (300), 패터닝된 BARC 층 (405), 및 패터닝된 DARC 층 (502) 을 포함하도록, 도 5에 이어지는 기판을 도시한다. 텅스텐일 수도 있는 수직 성장 마스크 (600) 가 패터닝된 BARC 층 (405) 또는 패터닝된 DARC 층 (502) 의 측벽들 상에 또는 타겟 층 (300) 의 노출된 표면 상에 거의 증착되지 않거나 전혀 증착되지 않고 패터닝된 BARC 층 (405) 의 필드 영역들 상에 증착된다.
도 6b는 보다 두꺼운 수직 성장 마스크 (620) 를 형성하기에 충분한 지속기간 동안 도 2의 동작 240이 수행된 후의 기판을 도시한다. 도 6b에 도시된 바와 같이, 기판은 패터닝된 BARC 층 (405) 또는 패터닝된 DARC 층 (502) 의 측벽들 상에 또는 타겟 층 (300) 의 노출된 표면 상에 증착하지 않고 수직 마스크 (620) 의 선택적인 수직 증착을 달성하도록, 프로세스 가스들 및 프로세스 조건들에 노출될 수 있다. 보다 두꺼운 수직 성장 마스크 (620) 의 임계 치수는 패터닝된 DARC 층 (502) 및 패터닝된 BARC 층 (405) 의 임계 치수와 거의 동일하다.
도 2를 다시 참조하면, 동작 260에서, 타겟 층 (300) 은 패터닝된 에칭 마스크 및 수직 마스크를 마스크로서 사용하여 에칭된다. 동작 260은 도 1에 대해 상기 기술된 바와 같이, 동작 160에 대해 상기 기술된 임의의 적합한 프로세스 가스들, 프로세스 조건들, 및 다른 특징들을 사용하여 수행될 수도 있다.
도 1의 증착 동작 및 에칭 동작에서와 같이, 동작 240 및 동작 260은 동시에 수행될 수도 있고, 또는 별개의 동작들로 수행될 수도 있고, 그리고 복수의 사이클들로 반복될 수도 있다. 동작 140 및 동작 160에 대해 상기 기술된 동시 동작, 순차적 동작, 및 반복된 동작의 변형들은 동작 240 및 동작 260에 적용될 수도 있다.
도 7a 및 도 7b는 타겟 층을 에칭하는 동안, 수직 마스크의 증착이 타겟 층 상에 증착되지 않고, 타겟 층을 에칭하지 않고 선택적으로 형성되는 예를 도시한다.
도 7a는 기판이 타겟 층 (300), 패터닝된 BARC 층 (405), 및 패터닝된 DARC 층 (502) 을 포함하도록, 도 5에 이어지는 기판을 도시한다. 텅스텐일 수도 있는 수직 성장 마스크 (700) 가 패터닝된 BARC 층 (405) 또는 패터닝된 DARC 층 (502) 의 측벽들 상에 또는 타겟 층 (300) 의 노출된 표면 상에 거의 증착되지 않거나 전혀 증착되지 않고 패터닝된 BARC 층 (405) 의 필드 영역들 상에 증착된다.
도 7b는 수직 성장 마스크 (700) 의 두께를 유지 및/또는 부가하는 동안 에칭된 타겟 층 (307) 을 형성하도록 타겟 층 (300) 내에 네거티브 피처들 (702) 을 형성하기 위해 타겟 층 (300) 의 대부분을 에칭하기에 충분한 지속기간 동안 도 2의 동작 240 및 동작 260이 동시에 수행되는 기판을 도시한다. 수직 성장 마스크 (700) 를 증착하기 위한 가스들 및 타겟 층 (300) 을 에칭하기 위한 가스들 모두에 대한 연속적인 노출이 이 결과를 달성하기 위해 사용될 수 있다. 도 7b에서 수직 성장 마스크 (700) 의 두께는 도 7a에서 수직 성장 마스크 (700) 의 두께와 대체로 동일한 것으로 도시되지만, 일부 실시 예들에서, 이 두께는 타겟 층 (300) 을 에칭하기 위한 에칭 가스들 및 수직 성장 마스크 (700) 를 증착하기 위한 가스들 모두에 대한 연속적인 노출 후 상이할 (얇거나 두꺼울) 수도 있다는 것이 이해될 것이다.
도 8a 및 도 8b는 수직 마스크의 증착 및 타겟 층의 에칭이 순환적 프로세스에서 교번하는 펄스들로 수행되는 예를 도시한다. 수직 마스크는 타겟 층 상에 증착되지 않고, 타겟 층을 에칭하지 않고 선택적으로 형성된다.
도 8a는 에칭 전 수직 성장 마스크 (800) 의 프로파일이 부분적으로 에칭된 타겟 층 (308) 을 형성하도록 마스크로서 패터닝된 BARC 층 (405) 및 패터닝된 DARC 층 (502) 을 사용하여 타겟 층 (300) 을 에칭하기 위한 에천트들 (etchants) 에 노출되도록, 동작 260이 수행된 후 도 5에 이어지는 기판을 도시한다. 이 동작에서 에칭된 타겟 층 (300) 의 양은 화살표 (801) 로 도시되지만, 수직 성장 마스크 (800) 는 에칭된 수직 성장 마스크 (810) 를 발생시키는 일부 재료를 가질 수도 있다. 이는 수직 성장 마스크 (800) 가 타겟 층 (300) 의 에칭 레이트보다 실질적으로 느린 에칭 레이트를 갖지만, 노출 지속기간은 수직 성장 마스크 (800) 의 일부를 에칭하기에 충분히 긴 경우에 발생할 수도 있다.
도 8b는 부분적으로 에칭된 타겟 층 (308) 을 에칭하지 않거나, 패터닝된 BARC 층 (405), 및 패터닝된 DARC 층 (502) 의 측벽들 상에, 또는 부분적으로 에칭된 타겟 층 (308) 의 표면 상에 텅스텐과 같은 수직 성장 마스크 재료를 증착하지 않고 수직 성장 마스크 (820) 를 보충하기 위해 동작 240이 반복된 후 도 8a에 이어지는 기판을 도시한다.
도 8c는 화살표 (802) 로 도시된 바와 같이 부가적인 두께가 제거되도록 부가적으로 에칭된 타겟 층 (318) 을 형성하기 위해 부분적으로 에칭된 타겟 층 (308) 을 더 에칭하도록 동작 260이 반복된 후 도 8b에 이어지는 기판을 도시한다. 에칭은 또한 추가로 에칭된 수직 성장 마스크 (821) 를 형성하도록 보충된 수직 성장 마스크 (820) 의 일부를 더 제거할 수도 있다. 동작 240 및 동작 260은 수직 성장 마스크를 보충하는 동안 타겟 층의 에칭을 계속하도록 사이클들에서 교번하여 반복될 수 있다. 일부 실시 예들에서, 수직 성장 마스크는 수직 성장 마스크의 재증착이 수행되지 않도록 충분한 두께로 증착된다. 일부 실시 예들에서, 수직 성장 마스크는 두께로 증착되어 수직 성장 마스크의 재증착이 증착된 수직 성장 마스크의 조성 및 두께에 따라 가변할 수 있는 에칭된 타겟 층의 두께 당 1 회만 수행된다. 일부 실시 예들에서, 특히 에칭 화학 물질이 수직 성장 마스크 재료들에 대해 타겟 층을 에칭하는데 매우 선택적이면, 두꺼운 수직 성장 마스크를 증착하고, 이어서 부가적인 수직 성장 마스크를 재증착하지 않고 타겟 층을 에칭하는 것이 가능할 수도 있다.
본 명세서에 기술된 다양한 실시 예들은 극자외선 (EUV) 패터닝, 탄소-함유 재료들 또는 도핑된 탄소-함유 재료들의 에칭과 같은 3D NAND 마스크 에칭, 및 펀치 (punch) 적용 예들을 포함하는 다양한 적용 예들에 사용될 수 있다. 펀치 적용 예의 일 예는 피처의 상단부에서 막의 최소 손실로, 홀 또는 트렌치의 하단부에서 타겟 막을 "펀칭"하도록 고 종횡비 홀 및 트렌치 패턴을 에칭하기 위한 프로세스이다. BARC 층 및 DARC 층을 갖는 스택 상의 탄소-함유 층을 에칭하기 위해 본 명세서에 제공된 일부 예들은 EUV 패터닝 프로세스들과 관련될 수도 있다.
장치
ICP (Inductively Coupled Plasma) 반응기들이 특정한 실시 예들에서, 수직 마스크의 증착 및 수직 마스크를 사용한 타겟 층의 에칭 모두를 포함하는, 특정한 개시된 실시 예들을 수행하기에 적합할 수도 있다. 이러한 ICP 반응기들은 또한 2013년 12월 10일 출원된, 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 미국 특허 출원 공개 번호 제 2014/0170853 호에 기술되었고, 이는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 결합 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 9는 본 명세서의 특정한 실시 예들을 구현하기 위해 적절한 유도 결합 플라즈마 통합된 에칭 및 증착 장치 (900) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp. 에 의해 생산된 Kiyo™ 반응기이다. 유도 결합 플라즈마 장치 (900) 는 챔버 벽들 (901) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (901) 및 윈도우 (911) 를 포함한다. 챔버 벽들 (901) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (911) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (950) 가 전체 프로세싱 챔버 (901) 를 상부 서브챔버 (902) 및 하부 서브챔버 (903) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (950) 는 제거될 수도 있고, 이에 따라 서브챔버들 (902 및 903) 로 이루어진 챔버 공간을 활용한다. 척 (917) 이 하단 내측 표면 근방의 하부 서브챔버 (903) 내에 위치된다. 척 (917) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (919) 를 수용하고 홀딩하도록 구성된다. 척 (917) 은 존재한다면 웨이퍼 (919) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 은 척 (917) 을 둘러싸고, 척 (917) 위에 존재한다면 웨이퍼 (919) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (917) 은 또한 웨이퍼를 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (917) 으로부터 웨이퍼 (919) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (917) 은 RF 전력 공급부 (923) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (923) 는 연결부 (927) 를 통해 매칭 회로 (921) 에 연결된다. 매칭 회로 (921) 는 연결부 (925) 를 통해 척 (917) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (923) 는 척 (917) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (911) 위에 위치된 코일 (933) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (933) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 9에 도시된 코일 (933) 의 예는 3 개의 턴들을 포함한다. 코일 (933) 의 단면들은 심볼들로 도시되고, “X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (933) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (941) 를 포함한다. 일반적으로, RF 전력 공급부 (941) 는 연결부 (945) 를 통해 매칭 회로 (939) 에 연결된다. 매칭 회로 (939) 는 연결부 (943) 를 통해 코일 (933) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (941) 는 코일 (933) 에 연결된다. 선택 가능한 패러데이 차폐부 (949) 가 코일 (933) 과 윈도우 (911) 사이에 위치된다. 패러데이 차폐부 (949) 는 코일 (933) 에 대해 이격된 관계로 유지된다. 패러데이 차폐부 (949) 는 윈도우 (911) 바로 위에 배치된다. 코일 (933), 패러데이 차폐부 (949), 및 윈도우 (911) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부는 금속 또는 다른 종이 플라즈마 챔버 (901) 의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어, 텅스텐 헥사플루오라이드와 같은 금속 할라이드들, 아르곤, 수소, 플루오로카본들, 등) 은 상부 챔버 (902) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (960) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (970) 을 통해 프로세싱 챔버 (901) 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 결합 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (940) 가, 프로세스 챔버 (901) 밖으로 프로세스 가스들을 인출하고 프로세스 챔버 (901) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 펌프는 예컨대 할로겐 종들이 마스크를 트리밍하거나 에칭하는 것을 방지하기 위한 청소 동작들 동안, 챔버를 퍼지하도록 사용될 수도 있는 챔버 (901) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세싱 챔버 (901) 에 유체적으로 연결하도록 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안 쓰로틀 (throttle) 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 진공 펌프 및 용량 결합된 플라즈마 프로세싱 챔버로의 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (960 및/또는 970) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (960) 를 통해서만, 또는 측면 가스 플로우 유입구 (970) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (949) 및/또는 선택 가능한 그리드 (950) 는 챔버 (901) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (949) 및 선택 가능한 그리드 (950) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (960 및/또는 970) 를 통해 챔버 (901) 내로 도입되도록, 챔버 (901) 의 업스트림에 위치될 수도 있다.
RF 전류로 하여금 코일 (933) 을 통해 흐르게 하도록 RF 전력 공급부 (941) 로부터 코일 (933) 로 무선 주파수 전력이 공급된다. 코일 (933) 을 통해 흐르는 RF 전류는 코일 (933) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (902) 내에 유도 전류를 생성한다. 웨이퍼 (919) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼의 피처들을 선택적으로 에칭하고 웨이퍼 상의 층들을 선택적으로 증착한다.
상부 서브챔버 (902) 및 하부 서브챔버 (903) 모두가 있도록 플라즈마 그리드가 사용된다면, 유도 전류는 상부 서브챔버 (902) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (902) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (950) 는 하부 서브챔버 (903) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치는 하부 서브챔버 (903) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 양이온들에 대해 보다 큰 비의 음이온들을 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (922) 를 통해 하부 서브챔버 (903) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (917) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
챔버 (901) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타겟 제조 설비 내에 설치될 때 챔버 (901) 에 커플링된다. 부가적으로, 챔버 (901) 는 로봇들로 하여금 통상적인 자동화를 사용하여 챔버 (901) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (930) 가 프로세스 챔버의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (930) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치는 최대 약 500 ms, 또는 최대 약 750 ms의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 제어기 (930) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (930) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기 (930) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (930) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드"내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (930) 는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기 (930) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 10은 VTM (Vacuum Transfer Module (1038) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 로드 록 또는 이송 모듈로 또한 공지된 에어록 (1030) 은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는, 4 개의 프로세싱 모듈들 (1020a 내지 1020d) 을 갖는 VTM (1038) 에 도시된다. 예로서, 프로세싱 모듈들 (1020a 내지 1020d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 일부 실시 예들에서, 임의의 수직 마스크의 증착, 및 패터닝된 에칭 마스크의 에칭, 및 타겟 층의 에칭이 동일한 모듈에서 수행된다. 일부 실시 예들에서, 임의의 수직 마스크의 증착, 및 패터닝된 에칭 마스크의 에칭, 및 타겟 층의 에칭이 동일한 툴의 상이한 모듈들에서 수행된다. 기판 에칭 프로세싱 모듈들 중 하나 이상 (임의의 1020a 내지 1020d) 이 본 명세서에 개시된 바와 같이, 즉, 임의의 수직 마스크의 증착, 및 패터닝된 에칭 마스크의 에칭, 및 타겟 층의 에칭, 및 개시된 실시 예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 (1030) 및 프로세스 모듈 (1020) 이 "스테이션들"로 지칭될 수도 있다. 스테이션 각각은 스테이션을 VTM (1038) 에 인터페이싱하는 패싯 (facet) (1036) 을 갖는다. 패싯 각각 내부에서, 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (1026) 의 통과를 검출하도록 사용된다.
로봇 (1022) 이 스테이션들 사이에서 웨이퍼 (1026) 를 이송한다. 일 실시 예에서, 로봇 (1022) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (1022) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (1026) 와 같은 웨이퍼들을 픽킹하기 위한 엔드 이펙터 (1024) 를 갖는다. ATM (Atmospheric Transfer Module) (1040) 의 프론트-엔드 로봇 (1032) 은 카세트 또는 LPM (Load Port Module) (1042) 의 FOUP (Front Opening Unified Pod) (1034) 로부터 에어록 (1030) 으로 웨이퍼들 (1026) 을 이송하도록 사용된다. 프로세스 모듈 (1020) 내부의 모듈 중심 (1028) 은 웨이퍼 (1026) 를 배치하기 위한 일 위치이다. ATM (1040) 내의 얼라이너 (1044) 가 웨이퍼들을 정렬하도록 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼가 LPM (1042) 내의 FOUP들 (1034) 중 하나에 배치된다. 프론트-엔드 로봇 (1032) 은 FOUP (1034) 로부터 웨이퍼 (1026) 가 에칭되거나 프로세싱되기 전에 적절하게 센터링되게 하는, 얼라이너 (1044) 로 웨이퍼를 이송한다. 정렬된 후, 웨이퍼 (1026) 는 프론트-엔드 로봇 (1032) 에 의해 에어록 (1030) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (1026) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (1030) 로부터, 웨이퍼 (1026) 는 로봇 (1022) 에 의해 VTM (1038) 을 통해 그리고 프로세스 모듈들 (1020a 내지 1020d) 중 하나 내로 이동된다. 이 웨이퍼 이동을 달성하기 위해, 로봇 (1022) 은 암들 각각 상의 엔드 이펙터들 (1024) 을 사용한다. 일단 웨이퍼 (1026) 가 프로세싱되면, 웨이퍼는 로봇 (1022) 에 의해 프로세스 모듈들 (1020a 내지 1020d) 로부터 에어록 모듈 (1030) 로 이동된다. 여기서부터, 웨이퍼 (1026) 는 프론트-엔드 로봇 (1032) 에 의해 FOUP들 (1034) 중 하나 또는 얼라이너 (1044) 로 이동될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 9에 대해 상기 기술된 바와 같은 제어기가 도 10의 툴을 사용하여 구현될 수도 있다.
본 명세서에 개시된 구현 예들은 웨이퍼, 기판, 또는 다른 워크피스와 같은 기판 상의 재료의 증착을 기술한다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 상부의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 한정되지 않을 것이다.

Claims (10)

  1. 타겟 층 위에 패터닝된 에칭 마스크를 갖는 반도체 기판을 제공하는 단계로서, 상기 패터닝된 에칭 마스크는 이격된 포지티브 피처들 (positive features) 을 포함하고, 이격된 포지티브 피처 각각은 필드 영역 및 측벽들을 갖는, 상기 반도체 기판 제공 단계; 및
    상기 타겟 층에 대해 상기 이격된 포지티브 피처들의 상기 필드 영역 상에 수직 성장 마스크를 선택적으로 증착하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 수직 성장 마스크는 적어도 하나의 피처를 포함하고, 그리고 상기 수직 성장 마스크의 상기 적어도 하나의 피처의 임계 치수는 상기 패터닝된 에칭 마스크의 대응하는 이격된 포지티브 피처의 임계 치수와 실질적으로 동일한, 방법.
  3. 제 1 항에 있어서,
    상기 패터닝된 에칭 마스크 및 상기 수직 성장 마스크 모두를 마스크로서 사용하여 상기 타겟 층을 에칭하는 단계를 더 포함하는, 방법.
  4. 제 3 항에 있어서,
    상기 수직 성장 마스크의 상기 증착 단계 및 상기 타겟 층의 상기 에칭 단계는 동시에 수행되는, 방법.
  5. 제 1 항에 있어서,
    상기 수직 성장 마스크의 이격된 포지티브 피처들 사이의 공간들의 사이즈는 상기 패터닝된 에칭 마스크의 상기 이격된 포지티브 피처들 사이의 공간들의 사이즈와 실질적으로 동일한, 방법.
  6. 타겟 층 위에 패터닝된 에칭 마스크를 갖는 반도체 기판을 제공하는 단계로서, 상기 패터닝된 에칭 마스크는 이격된 포지티브 피처들을 갖고, 이격된 포지티브 피처들 각각은 제 1 임계 치수 및 필드 영역과 측벽들을 갖는, 상기 반도체 기판 제공 단계; 및
    타겟 층에 대해 대응하는 이격된 포지티브 피처의 상기 필드 영역 상에 마스크를 증착하는 단계로서, 상기 마스크는 제 2 임계 치수를 갖는, 상기 마스크 증착 단계를 포함하고,
    상기 마스크는 상기 마스크의 증착 두께가 증가함에 따라 상기 제 2 임계 치수가 상기 제 1 임계 치수의 약 150 % 내로 유지되도록 증착되는, 방법.
  7. 타겟 층 위에 패터닝된 에칭 마스크를 갖는 반도체 기판을 제공하는 단계로서, 상기 패터닝된 에칭 마스크는 이격된 포지티브 피처들을 포함하고, 이격된 포지티브 피처 각각은 필드 영역 및 측벽들을 갖는, 상기 반도체 기판 제공 단계; 및
    타겟 층에 대해 상기 이격된 포지티브 피처들의 상기 필드 영역 상에 수직으로 선택적으로 성장된 텅스텐-함유 마스크를 증착하는 단계를 포함하는, 방법.
  8. 탄소-함유 타겟 층, 반사 방지 층, 및 패터닝된 포토레지스트를 포함하는 반도체 기판을 제공하는 단계;
    패터닝된 반사 방지 마스크를 형성하도록 상기 반사 방지 층을 패터닝하는 단계;
    상기 반도체 기판을 텅스텐-함유 전구체에 노출하고, 상기 패터닝된 반사 방지 마스크의 필드 영역들 상에 패터닝된 텅스텐-함유 마스크를 형성하도록 텅스텐-함유 마스크를 선택적으로 증착하기 위한 조건들 하에서 플라즈마를 점화하는 단계; 및
    상기 패터닝된 반사 방지 마스크 및 패터닝된 텅스텐-함유 마스크를 사용하여 상기 탄소-함유 타겟 층을 패터닝하는 단계를 포함하는, 방법.
  9. 타겟 층 및 제 1 임계 치수를 갖는 패터닝된 에칭 마스크를 포함하는 반도체 기판을 제공하는 단계; 및
    상기 패터닝된 에칭 마스크 상에 제 2 임계 치수를 갖는 텅스텐 재료를 형성하도록 약 160 ℃ 미만의 기판 온도에서 텅스텐-함유 전구체 및 아르곤과 수소 가스의 혼합물로부터 약 100 W 내지 약 500 W의 플라즈마 전력을 사용하여 생성된 플라즈마에 상기 반도체 기판을 노출하는 단계를 포함하고,
    상기 제 2 임계 치수는 상기 제 1 임계 치수의 150 % 이내인, 방법.
  10. 기판을 홀딩하기 위한 페데스탈을 포함하는 반응 챔버;
    상기 반응 챔버에 커플링되고 플라즈마를 생성하도록 구성된 플라즈마 소스;
    상기 반응 챔버에 커플링된 하나 이상의 제 1 가스 유입구들;
    상기 반응 챔버에 커플링된 제 2 가스 유입구; 및
    제어기로서,
    텅스텐-함유 전구체 및 아르곤과 수소 가스의 혼합물의 도입을 유발하는 동작을 수행하기 위한 인스트럭션;
    약 100 W 내지 약 500 W의 플라즈마 전력을 사용하여 상기 플라즈마의 생성을 유발하는 동작을 수행하기 위한 인스트럭션; 및
    상기 페데스탈의 온도로 하여금 약 160 ℃ 미만으로 설정되게 하는 동작을 수행하기 위한 인스트럭션을 포함하는, 상기 제어기를 포함하는, 장치.
KR1020217017304A 2018-11-05 2019-10-29 에칭 챔버의 방향성 증착 KR20210072826A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862755846P 2018-11-05 2018-11-05
US62/755,846 2018-11-05
PCT/US2019/058631 WO2020096817A1 (en) 2018-11-05 2019-10-29 Directional deposition in etch chamber

Publications (1)

Publication Number Publication Date
KR20210072826A true KR20210072826A (ko) 2021-06-17

Family

ID=70612334

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217017304A KR20210072826A (ko) 2018-11-05 2019-10-29 에칭 챔버의 방향성 증착

Country Status (6)

Country Link
US (1) US11742212B2 (ko)
JP (1) JP2022506438A (ko)
KR (1) KR20210072826A (ko)
CN (1) CN112970096A (ko)
TW (1) TW202039906A (ko)
WO (1) WO2020096817A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220170358A (ko) * 2021-06-22 2022-12-29 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 장치
KR102569787B1 (ko) * 2022-03-24 2023-08-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 시스템

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
US11244903B2 (en) 2019-12-30 2022-02-08 Micron Technology, Inc. Tungsten structures and methods of forming the structures
JP7456023B2 (ja) 2020-07-02 2024-03-26 アプライド マテリアルズ インコーポレイテッド リソグラフィ用途のフォトレジスト層上への炭素の選択的堆積
US11756790B2 (en) * 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
JP7250895B2 (ja) * 2021-06-22 2023-04-03 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
WO2023127820A1 (ja) * 2021-12-28 2023-07-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
WO2023137275A1 (en) * 2022-01-13 2023-07-20 Lam Research Corporation High selectivity and uniform dielectric etch
KR20230130601A (ko) * 2022-03-02 2023-09-12 주식회사 히타치하이테크 플라스마 처리 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05265189A (ja) * 1992-03-17 1993-10-15 Fujitsu Ltd フォトマスク及びその製造方法
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
TW575907B (en) * 2002-12-24 2004-02-11 Macronix Int Co Ltd Patterning method for fabricating integrated circuit
TWI255502B (en) * 2005-01-19 2006-05-21 Promos Technologies Inc Method for preparing structure with high aspect ratio
CN103173739B (zh) * 2006-07-31 2015-09-30 东京毅力科创株式会社 基板处理装置、程序、存储介质和决定是否需要调节的方法
US9034760B2 (en) * 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6514138B2 (ja) * 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
US9673058B1 (en) 2016-03-14 2017-06-06 Lam Research Corporation Method for etching features in dielectric layers
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
KR102460795B1 (ko) * 2018-05-09 2022-10-28 도쿄엘렉트론가부시키가이샤 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템
US10978301B2 (en) * 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220170358A (ko) * 2021-06-22 2022-12-29 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 장치
KR102569787B1 (ko) * 2022-03-24 2023-08-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 시스템

Also Published As

Publication number Publication date
US11742212B2 (en) 2023-08-29
CN112970096A (zh) 2021-06-15
TW202039906A (zh) 2020-11-01
WO2020096817A1 (en) 2020-05-14
JP2022506438A (ja) 2022-01-17
US20220028697A1 (en) 2022-01-27

Similar Documents

Publication Publication Date Title
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US11742212B2 (en) Directional deposition in etch chamber
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10784086B2 (en) Cobalt etch back
US20210265173A1 (en) Tin oxide mandrels in patterning
KR102580008B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9620376B2 (en) Self limiting lateral atomic layer etch
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
US11450513B2 (en) Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
WO2024006088A1 (en) Integrated high aspect ratio etching