TWI222108B - Dual loading port semiconductor processing equipment - Google Patents

Dual loading port semiconductor processing equipment Download PDF

Info

Publication number
TWI222108B
TWI222108B TW090109146A TW90109146A TWI222108B TW I222108 B TWI222108 B TW I222108B TW 090109146 A TW090109146 A TW 090109146A TW 90109146 A TW90109146 A TW 90109146A TW I222108 B TWI222108 B TW I222108B
Authority
TW
Taiwan
Prior art keywords
pod
substrate
wafer
door
platform
Prior art date
Application number
TW090109146A
Other languages
English (en)
Inventor
Takanobu Nakashima
Tatsuhisa Matsunaga
Hidehiro Yanagawa
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=18626558&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI222108(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Application granted granted Critical
Publication of TWI222108B publication Critical patent/TWI222108B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1222108 五、發明說明(
經濟部智慧財產局員工消費合作社印製 本發明係有關於一種半導體處理設備 有關於-種用於移動基板承載裝置之門的元件=地 體處理設備諸如—批次型式之垂直裝置,用I :擴,或是化學蒸歧積(CVD)處理,在半導體晶圓上 、擴放、電介質的或金屬的薄層。 ^ 批-人型式之垂直裝置的一種半導體處理設備 中用以執仃擴散或是化學蒸氣澱積(CVD)處理,置放於 卡E中的半導體晶圓係載入裝置且從裝置中卸載。傳統地 係使用二種類型之承載裝置。其中之—類型係為_箱形卡 E在其之相對的側邊上具有_對開口,而另—類型係為一 箱形的前開口成-體的苑(之後簡稱為莢)在其之一側上具 有一開口帶有_莢門係可移動地安裝在箱形笑上。 在使用莢作為承載裝置之半導體處理設備中,由於包 含晶圓之莢係氣密地閉合可以保護晶圓在輸送時不受週遭 大氣的污染。因此,半導體處理設備之潔淨室所需之清潔 度係可降低,因而可減少潔淨室之維護的成本。基於這些 原因,目前半導體處理設備使用莢作為承載裝置係相當普 遍的。 使用莢作為晶圓承載裝置之半導體處理設備配置有一 荚門開啟裝置’係用以使莢門維持固定並使荚門恢復原狀 。該一傳統的莢門開啟裝置之範例係揭露在美國專利第 5,772,386號中,其中莢門開啟裝置係配置在一晶圓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ·裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 4 1222108 五、發明說明( 埠上,並配置有一封件可與位在晶圓負載埠上之莢門摩擦 地齒3。在封件與莢門嚙合時係可藉由將封件降低使莢揭 露出來。 然而,由於傳統的半導體處理設備係僅配置一單一晶 圓負載埠,由於在晶圓負载埠更換另一莢時增加了準備用 於貫際處理晶圓所需之前置時間,因而拉長了整個半導體 製造處理之處理時間,從而減少了設備之生產量。 專 垂
Λ: 另一種具有多重平台莢門系統之設備係揭露在美國 利第6,042,324號中。然而,由於設備之荚門係藉由一: 的致動器如同-單一單元般同時地開啟,所以前置時間 並未減少且增加了設備之高度。 因此,本發明之主要目的在於提供一種半導體處理設 備能夠增加其之生產量。 根據本么明之一觀點,提供一種半導體處理設備其係 包括: ' 曰複數個晶圓負載埠用以安置包含數個晶圓的承載裝置 ,晶圓負载埠係為垂直地堆疊的;及 -潯載衣置門之開啟裝置的數目係與晶圓負載埠之數目 相同,在承載裝置係分別地配置在晶圓負載埠時用以開啟 &載衣置之Η ’莢門開啟裝置彼此係獨立地作動。 …其中,#一承載裝置係為在曰曰曰圓負載痒之其中之一處 ^丁負載或卸載處理時,其他的承載裝置係在其他之晶圓 、、痒處預備進行晶圓之負載或卸載的處理。 (2]〇χ 297 公釐) 1222108 A7 B7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 根據本發明之另一觀點,提供一種用以處理晶圓之方 法,該方法係可使用以處理在具有至少二負載埠之半導體 處理ό又備中之基板,複數個承載裝置其中之每個裝置包含 一基板部分、一承載裝置架用以儲存承載裝置、一反應室 以及一瘵發盤用以將基板裝載入反應室中並從反應室中卸 載,该方法包括在承載裝置與蒸發盤之間輸送基板的步驟 ’其中之輸送的步驟係包括以下步驟: 在承載裝置架與一負載埠之間輸送一承載裝置;及 在承載裝置與蒸發盤之間輸送包含在承載裝置中的基 板部分^ 其中,當位在負載埠之承載裝置係處在輸送步驟 另一承載裝置係在承載裝置架與另一負載埠之間輸送 圖式之簡要說明 本發明之上述以及其他的目的與特性,從以下之較佳 具體實施例之詳細說明並結合伴隨之圖式將變得顯而易 的,其中: 第1圖係概略地顯示本發明之一半導體處理設備; 第2圖係圖示一莢門開啟裝置的前透視圖; 第3圖係為莢門開啟裝置之一透視圖,其中笑係配 在晶圓負載埠上; 苐4圖係描緣莢門開啟裝置的後透視圖,其中裝置 一些部份已省略; 第5圖係為於第4圖中所省略之部份ν的透視圖; 第6 Α圖係顯示一用於變換之機構的平面圖,其中 而 見 置 的 AW ^--------^--------- (請先閱讀背面之注意事項再填寫本頁) 6 1222108 A7
經濟部智慧財產局員工消費合作社印製 五、發明說明(4 ) 狀構件係為收回的; 第6B圖係顯示一用於變換之機構的平面圖,其中臂 狀構件係位在操作位置; 第7圖係圖示本發明之第一較佳具體實施例負載及卸 載晶圓的順序; 第8圖係圖示本發明之第二較佳具體實施例負載及卸 载晶圓的另一種順序; 第9圖係圖示本發明之第三較佳具體實施例負載及卸 載晶圓的另一種順序;及 第10圖係圖示本發明之第四較佳具體實施例負載及卸 載晶圓的另一種順序。 毯.隹具體實施例之詳細說明 現相關於伴隨之圖式說明本發明之一較佳的具體實施 例0 第1圖係顯示一半導體處理設備1其具有一批次型式之 垂直裝置用以執行,例如,擴散或是化學蒸氣澱積(CVD) 處理。半導體處理設備]1係配置有一氣密地密封的外殼2。 一加熱皁兀3係垂直地安裝在外殼2之後側的上部份,而一 處理管4係同心地配置在加熱單元3中。處理管4具有一供 氣管線5用以供應處理氣體或是清洗氣體進入處理管#,以 及一排放管線6用以排空處理管4。一蒸發盤升降裝置7係 安裝在處理管4之下方用以移動蒸發盤8,蒸發盤具有一上 下移動的蒸發盤插座,從而將蒸發盤8負載入處理管4或從 處理管4中卸載。複數個晶圓9係可以晶圓之中心係可垂直 -------------^--------^---------線 (請先閱讀背面之注意事項再填寫本頁)
五、發明說明( 地對正同時在相鄰二晶 載於蒸發盤8中。、核持-預-的距離的方式負 -莢之負載/卸載開口(未顯示)係構成在外殼2之前璧 j,經由開口將莢10負載入外殼2或是從外殼2中卸載。 f載/卸载開口係可藉由—擋門(未顯示)開啟及閉合 广夹之負載/卸載開口之前方係配置一莢台η用以能一 一人收納多個莢,例如二個莢。 於半導體處理設備1之上中心部份係配置有-可轉動 的笑架π。莢架12係可支樓,例如八個笑心莢架12所能 =支撐U的數目並不限制在人個,但可增例如增加至十 二個莢木12具有二垂直地配置之納粹黨徽形狀的笑支撑 平板,每一平板係能夠同時支撐,例如,4個莢。英架12 係可藉由-旋轉的致動ϋ(未顯示),例如,—步進馬達在 -螺距接著螺距的基礎於一水平面中單一方向地轉動。 在莢架12的下方配置有二莢開啟裝置2〇,每—莢開啟 裝置包括一晶圓負載埠13、隔板21以及一封件4〇。晶圓經 過晶圓負載埠13由垂直堆疊的莢1〇載入或載出。 經濟部智慧財產局員工消費合作社印製 於外殼2的内部,一莢操作裝置14係安裝在莢台丨丨與 莢架12之間。莢操作裝置14係設計用以在莢架12與晶圓負 載埠13之間,以及莢架12與莢台11之間輸送莢。如有需要 莢亦可在莢台11與晶圓負載埠13之間輸送。再者,一晶圓 承載總成15係配置在蒸發盤8與晶圓負載埠13之間用以於 其間輸送晶圓9。 現相關於第1至6Β圖說明莢開啟裝置2〇之細節。 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1222108 經 濟 部, 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 Λ7 B7 五、發明說明(6 ) 如第1圖中所示’本發明之半導體處理設備包括一垂 直方向的隔板21其係由二莢開啟裝置2〇共同使用。晶圓負 載埠13係垂直地配置在隔板21之前表面上面向荚台η,而 相配合之封件40係配置在隔板21之後表面面向晶圓承載總 成15,如第2及3圖中所示。隔板21具有矩形形狀的開口 22 ,莢門10a經由開口與相配合之門開啟裝置2〇結合。開口 22 ,的尺寸係大於炎門10a的尺寸’荚門同時具有矩形形狀, 如第6A及6B圖中所示。矩形形狀的開口 22係垂直地配置 在隔板21。 如第2圖中所示,供每一晶圓負載埠13所用之支撐構 件23係水平地配置在隔板2 1之前表面上之每一開口 的下 方。支樓構件23之平面視圖大體上係為一四方框架形狀, 在其之达離隔板21之末端部處具有一些切割部份。一對平 行的導執24係安裝在支撐構件23之一上平板上,導執以係 垂直於隔板21之前表面運作。一負載平台27係可滑動地經 由引導滑車25安裝在導執24上。負載平台27係可朝向開口 22移動並可由開口22處移動離開,亦即往復的方向,該平 台係藉由一汽缸26安裝在支撐構件23之上平板上。 負載平台27亦為大體上係為一四方框架形狀,在其之 返離隔板2 1之末端部處具有一些切割部份。在負載平台η 之上表面,方向垂直的校準插銷28係配置在,例如,與一 等邊三角形之三個角|點一致的位置上。這些插銷係設計 成與在莢10之底部表面所構成之對應孔(未顯示)配合。 如第4圖中所示,供每一莢開啟裝置2〇所用的導軌3〇 i紙張尺度翻中關家鮮(CNS)A4規格⑵〇 ; 297公粲) -------------裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 9 1222108 A7 經濟部智慧財產局員工消費合作社印製
^--------^--------- (請先閱讀背面之注意事項再填寫本頁) 1222108 A7
裝 訂 線 1222108 A7 五、發明說明(9 部 智 慧 財 員 工 消 費 合 作 連接平板44 ’以致汽缸45之伸長與縮回係可產生滑輪42之 往復的旋轉運動,藉此導致鍵構件41轉動。此外,每一鍵 構件41在其之端部部份處包括一聯接器構件* 1 a用以與位 在莢10之門10a上的止動機構(未顯示)嚙合。 如第2圖中所示,一對能夠藉由真空抽吸支撐著莢門 l〇a的抽吸元件46係斜對角地配置在封件4〇之中央前表面 的二角落部份上。每一抽吸元件46具有一抽吸管47,而抽 吸官47係與一排氣/供氣管(未顯示)連接。抽吸管”之端 部份係設計成與莢門10a中之校準孔配合。因此,抽吸管 之作用係如同支稽構件用以支撐著莢門1 。 參考第2、4、6A及6B圖,於隔板21之前側壁板上一 具有垂直朝向之旋轉軸50a的旋轉致動器5〇係安裝在開 22的方邊酉己置一 c形的臂狀構件5 i用以穿過隔板21中、 開口 52。其中之一的C形的臂狀構件51係與旋轉軸5_ 接’而-用以偵測位在笑1〇中之晶圓的位置的變換裝置 係安裝在另-端部上。(:形的臂狀構件51係可在水平面 轉動。 於運轉中係穿經莢負載/卸載開口負載至英台 上,接著藉由莢操作裳置14輸送至笑架12上之預定的位 用以暫時地儲存,如第1圖中所示。 第7圖係根據本發明之第—具體實施例圖示介於笑架 1曰2與晶圓負載蜂13之間英輸送的過程,同時以及介於位在 負載蟑u上之英10與晶圓蒸發盤8之間的晶圓輸送過 α 訂 之 53 上 11 置 製 本紙張尺度㈣目家鮮 12 1222108 A7 經濟旬智慧財產局員工消費合作社印製 五、發明說明(1〇 二莢開啟裝置20係配置用以閉合開口22,以致襯塾構 件55密封靠著隔板21之後側壁板。一莢1〇係藉由莢操作裝 置Η從莢架12輸送至,例如,上晶圓負載埠13並配置在負 載平台27上。位在負載平台27上之三校準插銷28係與構成 在莢10之下方之對應的三孔(未顯示)嚙合,藉此完成負載 平台27上莢10之校準。 配置在負載平台27上之莢1 〇係藉由汽缸之伸長而朝向 隔板21移動,以該一方式個別的襯墊構件54與56係與莢門 1 〇a與圍繞著的莢門框氣密地接觸,如第6Α圖中所示。封 件40之鍵構件41與抽吸管47係同時分別地嵌入配置在莢門 l〇a上之鍵孔(未顯示)以及校準孔中。以上所說明之莢輸 送過程一般係以第7圖中第一階段之過程“a”為代表。 元成莢輸送過程“A”之後,經由抽吸元件46之内部的 排氣/供氣管47施加一負壓,因此抽吸元件仆藉由真空抽 吸支撐著莢門10a。之後,鍵構件41係藉著汽缸45轉動, 因此聯接器構件41a開啟莢門10a。 再者,後/前滑件34係藉著旋轉的致動器37移動離開 隔板21,並接著角狀的滑件31藉由汽缸32移動離開開口 22 ,因此藉由抽吸元件46支撐著莢門i〇a之封件4〇係移動至 一退出的位置。藉由封件4〇之該一移動,莢門1〇a係與莢1〇 脫離而莢10係為開啟,如第沾圖中所示,藉此負載在莢1〇 中之晶圓9係放置在晶圓承載總成丨5可以接近其中的狀況 下。上述之莢門開啟的過程係以第7圖中第一階段之過程 “B”為代表。 ^--------訂---------^ (請先閱讀背面之注意事項再填寫本頁)
^22108 五、 發明說明(u) 之後,如第6B圖中所示,變換裝置53藉由旋轉的致 動為50經由開口22而移動至位在笑1〇之内部的晶圓處,且 藉著偵測晶圓的位置,亦即藉著識別支撑著晶圓的插槽而 執订變換。變換完成之後,變換裝置53係藉由旋轉的致動 器二返回其之開始的位置。上述之變換的過程係以第頂 中第一階段之過程“C,,為代表。 再者,位在晶圓負載槔13之英1〇中的晶圓係藉由晶圓 輸送總成15輸送至晶圓蒸發盤8。上述之晶圓輸送的過程 係以第7圖中第一階段之過程“D,,為代表。 首先在’例如’上晶圓負載埠13執行晶圓輸送的過程 ,相繼地在’例如’下晶圓負解13完成荚運送過程“A” 、英門開啟過程“B,,以及變換過程“c,H圓負載淳 等候(過程E)直到在第一晶圓負載痒13完成晶圓輸送的過 程 “D,,。 因此,在第二階段之箆_ a m | & 曰曰圓負載埠1 3的晶圓輸送過 程“D”完成之後,立即在第一曰 P隹弟一日日®負载埠13開始晶圓輸送 過程“D”’如㈣中所㈣三階段)。因此,晶圓輸送之 #作係可藉由晶圓負載埠13交替地執行而不致因更換笑a 而中止,因此半導體處理設備之系統效率或生產量係可加 以改善。 於第7圖中所示之第三階段中,於該階段藉由第二 圓^載埠U完成晶圓輸送過程“D,,,英門閉合過程 莢交換過程“A”、莢門開啟過程“B,,、變換過程“c”以及 候過程“F”係依此順序接續地完成,因此可在第二晶圓 13 10 B曰 等 負 本紙張尺度適用中關家標準(CNSM4規格⑵〇 X 297公爱— 1222108 A7 B7 經·濟#智慧財產局員工消費合作社印製 五、發明說明(12) 載埠13完成過程“D”之後立即藉由在第一晶圓負載埠ι3開 始晶圓輸送的過程“D,,。 笑門的閉合過程係如下地完成。支撐著莢門10a的封 件40係藉由a缸32從朝向開口 22之退回的位置移開,接著 藉由方疋轉的致動器37朝向空莢1〇,藉由莢門1〇a用以閉合 笑1〇,之後’藉由汽缸45使鍵構件41轉動用以啟動莢門1〇a 之止動機構。止動之後,抽吸元件46内部的負壓藉著經由 抽吸管47與封件40所提供的一正壓而去除 。封件40維持在 該一位置直到重新開始莢門開啟過程“B,,。 莢門的交換過程“A”係如下地完成。在荚門l〇a藉著 莢門閉合過程“E”回復在空莢1〇上之後,支撐著空莢的第 一0曰圓負載璋之負載平台27係藉由汽缸26移動離開隔板21 。空莢10接著回存至莢架12,而其中支撐著晶圓的一新莢 係輸达至第一晶圓負载埠。之後,以如同莢輸送過程“A,, 之相同的方式將新供應的莢配置到封件40。剩餘的過程 B 、“C”及“F”係與第二階段中相同。 晶圓負載過程係重複直至將所說明之晶圓數由莢10負 載至aa圓洛發盤8。在輸送所說明之晶圓數之後,最後二 二莢係可移開至莢架丨2或是置於晶圓負載埠丨3。可交替地 ,在一晶圓負載埠13係可僅保持有一空埠13。晶圓蒸發盤 8所能支撐用於一批次處理之晶圓的數目係為,例如,1〇〇 至150,該數目係遠大於一莢其中所能包含之數目,例如 ’ 2 5的數倍。 預定數目之未經處理的晶圓在負載至晶圓蒸發盤8之 ^--------^---------^ (請先閱讀背面之注意事項再填寫本頁)
1222108 A7 五、發明說明(13 ) 後,蒸發盤升降裝置7將晶圓蒸發盤8提升進入處理管种 。當晶圓蒸發盤8被引人處理管4中時,處理管4之下端部 開口係藉由蒸發盤插座8a加以密封地封合。 再者,處理管4經由排放管線6排空用以將其中之壓力 降低至預定的真空程度。之後,以所欲之晶圓處理法,例 如,擴散或是化學機械殿積處理,藉著使用加教器單元) 同時經由氣體供應管線5供應預定的處理氣體至處理管种 ,將溫度控制在所期望的程度對已負載之晶圓加以處理。 訂 歷經-段預定的處理時間之後,支撐著處理過之晶圓 的晶圓蒸發盤8係從處理管4送出並返回至其之開始位 在這段期間,晶圓蒸發盤8係負載入處理管4中並從處理管 4中卸載,並且晶圓係在處理管4中加以處理,為了收納處 理過之晶圓係、可於對應的晶圓負解13處準備一或二苑⑺ 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 於 圓 第 之後,晶圓輸送總成15將一部份支撐在晶圓蒸發盤8 中的處理過之晶圓輸送至配置在,例如,帛—晶圓負载淳 13(上負載埠)處莢門1〇a開啟之一空莢⑺中。此處理過程 係與第7圖中所示第二階段之晶圓輪送過程“D”一致。 一晶圓負載埠處完成晶圓輸送過程“D”之後,於另一晶 負載埠處使莢Η開啟完成相同的處理過程。此過程係與 7圖中所示第三階段之過程“D,,一致。 於第一 aa圓負載槔處完成晶圓負載過程“D,,時,莢門 閉合過程“E,,、莢交換過程“A,,、莢門開啟過程“b”以及等 候過程‘‘?,,係於第7®之第謂段中在第_晶圓負載璋處 本紙張尺用中關家彳^CNS)A4規格⑵Q χ挪公爱 1222108
φ 員 工 消 Μ =變換過程“C,由於此時處理過之晶㈣錄送入一空 爽中所以並未執行。 , 〜从、。莢又換過& A係代表輸送-包含處理過晶圓之 =曰曰圓負載蟑至莢架12,並將空莢從荚架12移至晶圓負 載埠13之過程外,過程“E”、“A”、“B,,及“F”相關於從㈣ 至晶圓蒸發盤8之所說明的晶圓負載過程係為相同的。 假若在將所有的晶圓負載於晶圓蒸發盤8之上後所有 空笑已由晶圓負載埠13輸送至莢架12,處理過晶圓之卸載 過程係可如下地完成。首先,一空笑係由英架㈣送至其 中之B曰圓負載埠並且開啟其中之莢門丨0a。這些過程係 '、第7圖中之第一階段的過程“A”及“B”一致。過程“A”及 B之時程係可加以控制,以至於第二階段之晶圓輸送過 私D係可在完成於第—階段中之莢門開啟過程之後 立即開始。當然,由於莢係為空的因此省略了於第一階段 之變換過程“C,,。 之後於第二階段’於第一晶圓負載璋13完成晶圓輸送 過程“D”,同時過程“A,,、“B,,及“F,,係於第二晶圓負載埠 接續地執行。因此,於第三階段之過程係可如上所述地完 成。 重複此過程直到將所有處理過的晶圓從晶圓蒸發盤 輸送至空莢(其係依次返回至莢架12)。 如上所述’由於晶圓輸送總成15可將處理過的晶圓連 績地從晶圓蒸發盤8輸送至莢丨〇,而更換晶圓負載埠13上 之莢10不必等候,因此半導體處理設備1之生產量係可實 8 Μ--------^----------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺錢时ϋ目家鮮(CNS)A4祕⑵G X 297公爱) 1222108 五、發明說明(is ) 經濟部智慧財產局員工消費合作社印製 質地增加。 接一圓的爽1G係暫時地存放在莢架12中,且 接㈣由爽操t裝置14輸送至莢台11。再者,位在笑台η 上的莢係經由荚負載/卸載開口一 Μ 1 (未顯不)被輸送至另一設 備用於後續的處理,而包含夫 未、、&處理過晶圓之新莢係被置 放在莢台11上。 介於英架12與笑台11之間輸送莢,以及將英負載至半 導體處理設備1並從其中㈣㈣料可在㈣於處理管4 中處理並且在晶圓負載埠13於晶圓蒸發盤8與㈣之間輸 送的同時完m ’半導體處理設们之總處理時間係 可縮短。 參考第8至1〇圖,係圖示根據本發明之進一步的較佳 具體實施例的晶圓輸送順序。於第8至1〇圖中所示之順序 中,在開始針對該批次處理之連續晶圓負載過程之前至少 對於包含一批次過程中所需晶圓的莢完成晶圓變換,例如 ,為了完成變換藉著將對應的莢從莢台丨丨輸送至晶圓負載 璋13並接著將其移至莢架12上。因此,第8至1〇圖中的處 理順序係可猎由假δ又對於儲存在包含一批次處理所需之 圓的莢架12上之晶圓的變換已完成而加以說明。第$至 圖中的過程“Α”至“F”及“Α'”基本上係與第7圖之過程相 曰Β 10 同 相關於第8圖說明本發明之第二具體實施例之晶圓輸 送順序。在針對輸送未經處理過之晶圓至晶圓蒸發盤8之 順序的第一階段,包含未經處理過之晶圓的一第一荚係從 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 18 ^--------^--------- (請先閱讀背面之注意事項再填寫本頁) 五、發明說明(16) =12輸运至-弟_晶圓負載槔(過程“a,,),以及開啟第 一莢之莢門(過程“B,,)。 一於緊接者的第二階段,開始將晶圓從第—莢輸送至晶 圓蒸發盤8(過程“D”),同時,包含未經處理過之晶圓的i曰 =被輸送至-第:晶圓負载埠(過程“A,,)並等候直到於 弟—晶圓負料之晶圓輸送過程“D”完成(過程“F”)。 於第三階段,開啟第二英之英門(過程“B”)並將其中 的晶圓輸送至晶圓蒸發盤8(過程“ D”)及將空的第_英之笑 1改仅(過E ) ’接著以承絲域理過之晶圓的另一 芙替換(過程“A”)’於第一晶圓負載璋所剩餘之新英直到 於:二晶圓負載槔之晶圓負載過程完成(過程“厂)為止。 與第三階段相關說明之過程係交替地實行直至用於一批次 處理所需之晶圓輸送至晶圓蒸發盤8為止。 如上所述於本發明之第二具體實施例中,對於一晶圓 負載槔之莢輸达過程T及莢交換過程“A,,係、於在盆他晶 圓負載埠處之晶圓輸送過程“D”期間實行;且同時執行對 於-晶圓負載埠之莢門的開啟過程“B”以及對於另一晶圓 負载埠之笑門的閉合過程‘‘E,,。 、除了用於輸送經處理過的晶圓之處理順序中的芙交換 過程“A,,係表示將-包含經處理過的晶圓之莢從_晶圓負 載埠輸运至^架12,並接著將_空荚從荚架12移至該晶圓 負載槔外,用於將處理過之晶圓輸送至空荚之第二具體實 %例的過&順序係與將未經處理過的晶圓輸送至晶圓蒸發 盤8之過程順序相同。以在完成第-荚之荚Η開啟之後可 1222108 經濟部智慧財產局員工消費合作社印製 A7 β: 五、發明說明(17 立:地執㈣晶圓從蒸發盤輸送至第-空莢之該_方式網 一弟一空莢輸送至其中之—日日日圓負載埠的過程 以控制。 根據本發明之第三具體實施例於第9圖巾所示之順序 ,除了在-晶圓負載埠之莢門開啟的過程“Β”係在另一晶 圓負載埠之晶圓輸送過程“D”期間執行外,係與第8圖: 所不之第二具體實施例的順序相同,以該一方式於_曰π 負載痒之過程“D,,在另-晶圓負載槔之過程“D,,—經= :可 :::始。同時’在一晶圓負載痒之笑門的閉合:程 門始在另-晶圓負載槔之晶圓輪送過程“D”係可同時 第_係根據本發明之第四具體實施例圖示—晶圓輸 达匕程。除了等候過程“F,,與英門開啟過程“β”之順序 一階段係為相反的外,於第_中所示之過程順序係與第 囷中所示之第二具體實施例的過程順序相同。 根據本發明可以獲得以下之優點。 1)藉著垂直地安裝一對笑門開啟裝£,該每 能夠獨立地開啟與恢復位在每—晶圓負料上的: 51輸送過程係可獨立地在—晶圓負載埠處執行,同時另二 負載痒係準備用於接續的晶圓輸送過程。結果,總處理時 :係可顯著地縮短並因而可以增加半導體處理設備:生: '著垂直地配置晶圓負載槔,係可改善系統的效率 而不致增加半導體處理設備的地板面積或所佔有的空間。
_裝--------訂----------t (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度適財祕⑵Qx 297公爱). -20 1222108 Λ7
3) 垂直配置的晶圓負載痒消除了對於晶圓承載總成】5 之左右移動的需求,藉此簡化其之構造並改善了系統的效 率而不致增加處理設備的寬度。 結果,可消除用 並因而使半導體 從而可以增加系 4) 所配置用於個別的晶圓負載槔之可獨立地操作的變 換裝置可使在-晶圓負載痒的變換過程,以及在另一晶圓 負載埠的晶圓輸送過程可以同時地執行 於接績的晶圓輸送過程所需的負載時間 處理設備的總處理時間係可顯著地縮短 統的效率。 訂 5) 藉著使用旋轉的致動機構係可得到簡化的並且是小 尺寸的變換裝置,其中旋轉的致動器係安裝在隔板的前側 壁板上且臂狀構件係穿經位在隔板中之開口與其結合,以 及、k換裝置係附裝在臂狀構件的端部,藉由旋轉致動器的 轉動使變換裝置接近位在莢中之晶圓。 線 經·濟部智慧財產局員工消費合作社印製 6) 於荚開啟裝置之動作中的任何垂直元件係可致使裝 置的高度增加,其係依次使位在莢開啟裝置上方的莢架配 置在一較高的位置,並增加了半導體處理設備的高度。垂 直地配置的莢開啟裝置之所增加的數目係可加強荚架之垂 直位置的增加效果以及處理設備本身之高度的增加。莢架 之較高的垂直位置係需要增加莢之輸送時間,從而減少了 設備的生產量。 成對比地,本發明之莢開啟裝置20係單獨地沿著水平 的方向作動’一點也不會使設備的高度與莢之輸送時間增 加。再者,莢架係配置用以沿著處理設備之寬度方向收
1222108 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(19) 二排莢,而僅有-排晶圓負解係配置在莢架的下方。結 果,莢開啟裝置之純粹過渡性的橫向運動係可在莢架下^ 的保留空間中進行,並因而改善了系統的效率以及生產量 而不致增加英輸送時間以及犧牲處理設備的地板面積。里 可以察知的是半導體處理設備的形式如有需要係可適 當地變化。 例如,晶圓負載埠的數目並不限定為二個,假若可以 容許高度增加亦可垂直地安裝二個以上的晶圓負載蜂。 此外,係可以使用一χ_γ轴型式之自動控制裝置的另 -種機構代替旋轉的致動器。再者,若有所需係可省略變 換裝置。 再者,除了半導體晶圓外處理設備係可為處理其他基 板,例如,光罩、印刷電路板、液晶顯示幕,光碟片'及^ 片之型式的設備。 處理設備之型式係設計成執行,例如,氧化物的形成 、擴散處理以及其他型式的熱處理過程來取代化學機械澱 積(CVD)。除了用在批次類型的垂直處理器外,本發明亦 可適用在其他類型的半導體處理設備。 儘官本發明已顯示並相關於較佳的具體實施例加以說 明,但疋沾知此技蟄之人士可以瞭解的是可以作不同的變 化與修改而不致背離如以下申請專利範圍所定義之本發明 的精神與範疇。 ·牡衣tT---------線 (請先閱讀背面之注意事項再填寫本頁)
1222108 A7 B7 五、發明說明(20 ) ‘經·濟辦智慧財產局員工消費合作社印製 1···半導體處理設備 2…外殼 2a…前壁 3···加熱器單元 4…處理管 5···供氣管線 6…排放管線 7···蒸發盤升降裝置 8…蒸發盤 8a…蒸發盤插座 9…晶圓 10…莢 10a···莢門 Π…荚台 12…可轉動的莢架 13…晶圓負载埠 14…莢操作裝置 15…晶圓承載總成 20…莢開啟裝置 21…隔板 22…矩形形狀的開口 23…支撐構件 元件標號對照 24…導執 25…引導滑車 26…汽缸 27…負載平台 28…校準插銷 30…導軌 31…角狀的滑件 32…汽缸 32a…活塞桿 33…導執 34…後/前滑件 35…導孔 36…托架 37…旋轉的致動器 37a…臂狀構件 38…引導插銷 39…托架 40…封件 41…可轉動的鍵構件 41a…聯接器構件 42…滑輪 43…傳動帶 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 23 (請先閱讀背面之注意事項再填寫本頁) 1222108 A7 B7 五、發明說明(21 ) 44…連接平板 45…汽缸 46···抽吸元件 47…抽吸管 50…旋轉的致動器 50a…旋轉軸 51"_C形狀的臂狀構件 52…開口 53…變換裝置 54…附加的襯塾構件 55…襯墊構件 56…襯墊構件 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) _ 24

Claims (1)

  1. ^轉委員明示,本衆修正後是?5變更原實質内« 申請專利範圍 第90109146號專利申請案申請專利範圍修正本“年_ i2曰 L 一種基板處理設備,包括: 至少二莢支撐平台,於每一平台上放置一莢用以將 基板包含於其中,莢具有一莢門;及 至^、一可獨立操作的莢門開啟機構,每一莢門開啟 機構具有莢開啟裝置用以容許接近配置在一對應的莢 支撐平台上之莢的内部的基板。 2.如申請專利範圍第丨項之基板處理設備,其中該至少二 莢支撐平台係為垂直地配置。 3·如申請專利範圍第2項之基板處理設備,其中該用以容 許接近基板的莢開啟裝置,包括: 一莢門介面;及 致動器,用以水平地移動莢門介面藉此從笑移開 門,並容許接近配置在一對應的莢支撐平台上之莢的内 部的基板。 4·如申請專利範圍第1項之基板處理設備,其中每一莢支 撐平台包括一變換裝置用以偵測配置在支撐平台上之 莢中基板的位置。 5·如申請專利範圍第1項之基板處理設備,進一步包括: 一基板操作裝置,用以將基板負載至配置在一英支 撐平台上的一莢中或是將基板從配置在一莢支撐平台 上的一莢中卸載;及 一莢輸送裝置,用以將另一莢配置在另一莢支撑平 台上,同時基板操作裝置將基板從配置在該一莢支標平 六、申請專利範圍 台上的莢中卸載。 6· 一種基板處理設備,包括·· 莢門開啟機構,其係包括莢開啟裝置用以容許接 近配置在一莢之内部具有一門的基板, 其中用以容許接近基板的莢開啟裝置包括: 一莢門介面;及 一動器,用以水平地移動莢門介面藉此從莢移 開門,並容許接近配置在對應的笑支㈣台上之英的内 部的基板。 7. 一種基板處理設備,包括·· 1支撐平台’於每_平台上放置—莢用以將基板 包含於其中,莢具有一莢門;及 支換虞置,其係安裝在英支撐平台上用以领測配 置在支撐平台上之莢中基板的位置。 8. ^種基板處理方法’係使用在一包括至少二英支樓平 台’於母一平台上放置-莢用以將基板包含於其中的基 板處理設備中,包括以下步驟: 將基板負載至配置在一莢支撐平台上的-莢中或 是將基板從配置在一笑支撐平台上的一笑中卸載;及 於負載或卸載的步驟期間,將另—英配置在另一英 支撐平台上。 9. ==導體元件製造方法,係使用在—包括至少二英支 得平σ’於母一平台上於番_ 4 爽用以將基板包含於其中 的基板處理設備中,包括以下步驟: (CNS 本紙張尺度適用中國國家標準 Α4規格(21 0X297公复 26 1222108 A B c D 六、申請專利範圍 將基板負載至配置在一莢支撐平台上的一莢中或 是將基板從配置在一莢支撐平台上的一莢中卸載;及 於負載或卸載的步驟期間,將另一莢配置在另一莢 支撐平台上。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 27
TW090109146A 2000-04-17 2001-05-15 Dual loading port semiconductor processing equipment TWI222108B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000114818 2000-04-17

Publications (1)

Publication Number Publication Date
TWI222108B true TWI222108B (en) 2004-10-11

Family

ID=18626558

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090109146A TWI222108B (en) 2000-04-17 2001-05-15 Dual loading port semiconductor processing equipment

Country Status (4)

Country Link
US (2) US6641350B2 (zh)
JP (5) JP4583461B2 (zh)
KR (1) KR100639765B1 (zh)
TW (1) TWI222108B (zh)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
US7147424B2 (en) * 2000-07-07 2006-12-12 Applied Materials, Inc. Automatic door opener
JP3581310B2 (ja) * 2000-08-31 2004-10-27 Tdk株式会社 防塵機能を備えた半導体ウェーハ処理装置
JP4342745B2 (ja) * 2000-09-27 2009-10-14 株式会社日立国際電気 基板処理方法および半導体装置の製造方法
US7021882B2 (en) 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener
JP3699348B2 (ja) * 2000-11-30 2005-09-28 平田機工株式会社 駆動部隔離foupオープナ
JP3697478B2 (ja) * 2001-08-20 2005-09-21 ソニー株式会社 基板の移送方法及びロードポート装置並びに基板移送システム
US6926489B2 (en) * 2002-05-09 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Latch sensor for pod transport gripper
AU2003259104A1 (en) * 2002-07-15 2004-02-02 Asml Us, Inc. Thermal processing system and configurable vertical chamber
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
KR101028065B1 (ko) * 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7614840B2 (en) * 2002-12-30 2009-11-10 Tdk Corporation Wafer processing apparatus having dust proof function
US7264331B2 (en) * 2003-10-29 2007-09-04 Konica Minolta Medical & Graphic, Inc. Ink jet recording apparatus
US7024275B2 (en) * 2003-11-05 2006-04-04 Taiwan Semiconductor Manufacturing Company Control method and system for an automated material handling system
US7720557B2 (en) 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7218983B2 (en) 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7177716B2 (en) 2004-02-28 2007-02-13 Applied Materials, Inc. Methods and apparatus for material control system interface
US7274971B2 (en) 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
TWI290875B (en) * 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
ATE527690T1 (de) 2004-08-23 2011-10-15 Murata Machinery Ltd Werkzeuglade- und pufferungssystem auf liftbasis
FR2874744B1 (fr) * 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
KR100909494B1 (ko) * 2006-05-11 2009-07-27 도쿄엘렉트론가부시키가이샤 처리장치
TWI452643B (zh) * 2006-05-11 2014-09-11 Tokyo Electron Ltd Inspection device and inspection method
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP4215079B2 (ja) * 2006-07-31 2009-01-28 村田機械株式会社 クリーンストッカと物品の保管方法
WO2008035324A2 (en) * 2006-09-19 2008-03-27 Coreflow Scientific Solutions Ltd Apparatus for fluid treatment
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2009135232A (ja) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd ロードポート
KR101077566B1 (ko) * 2008-08-20 2011-10-28 세메스 주식회사 기판 처리장치 및 이의 기판 이송 방법
JP5227701B2 (ja) * 2008-08-28 2013-07-03 東京応化工業株式会社 基板処理システム
US8919756B2 (en) * 2008-08-28 2014-12-30 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device, and coating device
US9214372B2 (en) 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
KR101152271B1 (ko) * 2010-05-07 2012-06-08 유정호 일체형 반도체 처리 장치
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5980551B2 (ja) * 2011-07-13 2016-08-31 株式会社日立国際電気 温度検出部、基板処理装置、及び半導体装置の製造方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103890926A (zh) * 2011-11-09 2014-06-25 昕芙旎雅有限公司 装载端口、efem
JP2013143425A (ja) * 2012-01-10 2013-07-22 Tokyo Electron Ltd 基板処理システム及び基板位置矯正方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6819565B2 (ja) * 2017-12-21 2021-01-27 株式会社ダイフク 物品収納設備
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7300817B2 (ja) * 2018-09-21 2023-06-30 株式会社Screenホールディングス 基板処理装置および基板処理装置の制御方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11842913B2 (en) * 2021-09-24 2023-12-12 Applied Materials, Inc. Seal mechanisms for load ports

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2868645B2 (ja) * 1991-04-19 1999-03-10 東京エレクトロン株式会社 ウエハ搬送装置、ウエハの傾き検出方法、およびウエハの検出方法
US5319216A (en) * 1991-07-26 1994-06-07 Tokyo Electron Limited Substrate detector with light emitting and receiving elements arranged in staggered fashion and a polarization filter
JP2725496B2 (ja) * 1991-09-30 1998-03-11 三菱電機株式会社 移替装置
JP3177035B2 (ja) 1992-11-26 2001-06-18 東京エレクトロン株式会社 縦型熱処理装置
JP3176160B2 (ja) * 1993-01-21 2001-06-11 東京エレクトロン株式会社 処理装置
US5308993A (en) * 1993-03-28 1994-05-03 Avalon Engineering, Inc. Semiconductor wafer cassette mapper having dual vertical column of light emitting apertures and a single vertical column of light receiving apertures
ES2229247T3 (es) 1995-03-28 2005-04-16 Brooks Automation Gmbh Estacion de carga y descarga para instalaciones de tratamiento de semiconductores.
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JP3283798B2 (ja) 1996-08-29 2002-05-20 東京エレクトロン株式会社 処理装置
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JPH10256346A (ja) * 1997-03-13 1998-09-25 Tokyo Electron Ltd カセット搬出入機構及び半導体製造装置
JP3625617B2 (ja) * 1997-06-10 2005-03-02 東京エレクトロン株式会社 基板処理装置、カセット内の基板検出装置
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JPH1131729A (ja) 1997-07-14 1999-02-02 Dainippon Screen Mfg Co Ltd 基板収納容器供給装置
JPH11204617A (ja) 1998-01-13 1999-07-30 Dainippon Screen Mfg Co Ltd 基板検出装置および基板搬入搬出装置
JP3590517B2 (ja) * 1998-01-21 2004-11-17 株式会社 日立インダストリイズ カセット内ウエフア検出装置
US6050891A (en) * 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JP3974992B2 (ja) * 1998-02-27 2007-09-12 大日本スクリーン製造株式会社 基板収納容器の蓋開閉装置および基板搬入搬出装置
JP3954714B2 (ja) 1998-02-27 2007-08-08 大日本スクリーン製造株式会社 基板搬送装置
JP3656701B2 (ja) * 1998-03-23 2005-06-08 東京エレクトロン株式会社 処理装置
JP3658188B2 (ja) 1998-06-02 2005-06-08 大日本スクリーン製造株式会社 基板処理装置
JPH11354602A (ja) 1998-06-03 1999-12-24 Mecs Corp ポッドオープナーの蓋ラッチ装置
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
JP2000012670A (ja) 1998-06-19 2000-01-14 Nikon Corp 基板カセット
JP3664897B2 (ja) * 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
JP2987148B1 (ja) * 1999-01-26 1999-12-06 国際電気株式会社 基板処理装置
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
JP4578615B2 (ja) * 1999-07-21 2010-11-10 東京エレクトロン株式会社 熱処理装置

Also Published As

Publication number Publication date
JP2010161389A (ja) 2010-07-22
JP2011040743A (ja) 2011-02-24
KR20010098658A (ko) 2001-11-08
JP4581032B2 (ja) 2010-11-17
JP2008182255A (ja) 2008-08-07
JP5518132B2 (ja) 2014-06-11
USRE43023E1 (en) 2011-12-13
JP2012199584A (ja) 2012-10-18
US20010038783A1 (en) 2001-11-08
JP5237336B2 (ja) 2013-07-17
JP4581031B2 (ja) 2010-11-17
US6641350B2 (en) 2003-11-04
KR100639765B1 (ko) 2006-10-31
JP2010147499A (ja) 2010-07-01
JP4583461B2 (ja) 2010-11-17

Similar Documents

Publication Publication Date Title
TWI222108B (en) Dual loading port semiconductor processing equipment
TW568874B (en) Fast swap dual substrate transport for load lock
TW424073B (en) Apparatus and method for transporting substrates
KR20210016277A (ko) 수직 배치 퍼니스 어셈블리
TW408357B (en) Automated wafer buffer for use with wafer processing equipment
JP4464993B2 (ja) 基板の処理システム
KR100235917B1 (ko) 진공처리장치
JP6559087B2 (ja) 基板処理装置
TW201004854A (en) Substrate processing apparatus and method for transferring substrate for the apparatus
TW201351552A (zh) 基板處理裝置
JPH11288995A (ja) 搬送システム及び処理装置
KR20130118236A (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
TW480557B (en) Substrate processing apparatus and substrate processing method
TW200915470A (en) Substrate processing apparatus
JP3632812B2 (ja) 基板搬送移載装置
CN110943018A (zh) 衬底处理装置及衬底处理方法
KR101215712B1 (ko) 기판 처리 장치
TW414934B (en) Transfer apparatus and vertical heat-processing system using the same
JP2019004072A (ja) 基板処理装置、基板処理方法及び記憶媒体
JPH11330037A (ja) 基板処理装置
JP2002043395A (ja) ウエハ搬送システム及びその搬送方法
TW463211B (en) Process system
JP2004087675A (ja) 基板処理装置
JP2015076473A (ja) 基板処理装置
JP2003115518A (ja) 基板処理装置

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent