KR100639765B1 - 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법 - Google Patents

기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법 Download PDF

Info

Publication number
KR100639765B1
KR100639765B1 KR1020010020422A KR20010020422A KR100639765B1 KR 100639765 B1 KR100639765 B1 KR 100639765B1 KR 1020010020422 A KR1020010020422 A KR 1020010020422A KR 20010020422 A KR20010020422 A KR 20010020422A KR 100639765 B1 KR100639765 B1 KR 100639765B1
Authority
KR
South Korea
Prior art keywords
pod
substrate
wafer
loading
door
Prior art date
Application number
KR1020010020422A
Other languages
English (en)
Other versions
KR20010098658A (ko
Inventor
나카시마다카노부
마츠나가다츠히사
야나가와히데히로
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=18626558&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100639765(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20010098658A publication Critical patent/KR20010098658A/ko
Application granted granted Critical
Publication of KR100639765B1 publication Critical patent/KR100639765B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

리드 타임을 단축하고, 작업 효율을 높인다.
반도체 제조 장치(1)에 있어서, 한 쌍의 웨이퍼 로딩 포트(13, 13)를 상하로 2단 설치하고, 양 웨이퍼 로딩 포트(13, 13)에는 포드(10)의 캡(10a)를 개폐하는 포드 오프너(20)를 설치한다. 한쪽의 웨이퍼 로딩 포트(13)에 있는 포드(10)에 대한 웨이퍼(9)의 출납 작업 중에 다른 쪽의 웨이퍼 로딩 포트(13)에 있는 포드(10)의 반입 반출작업이나 준비작업을 동시에 진행시킨다.
포드(10)를 교환할 때의 대기 시간을 없애 작업 효율을 높일 수 있다. 상하로 2단 설치함으로써, 웨이퍼 로딩 포트의 점거 면적을 증가시키지 않아도 되므로, 반도체 제조 장치의 횡폭의 증가를 피할 수 있다.

Description

기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조 방법{METHOD AND APPARATUS FOR PROCESSING SUBSTRATES AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD}
도 1은 본 발명의 1실시예에 따른 반도체 제조 장치를 도시하는 개략적인 사시도,
도 2는 포드 오프너를 도시하는 정면측에서 본 사시도,
도 3은 포드 탑재 상태를 도시하는 사시도,
도 4는 포드 오프너를 도시하는 배면측에서 본 일부 생략 사시도,
도 5는 도 4에서 생략된 V부를 도시하는 사시도,
도 6a는 대기 중인 상태의 맵핑 장치를 도시하는 평면 단면도,
도 6b는 작동 중인 상태의 맵핑 장치를 도시하는 평면 단면도,
도 7은 본 발명의 제 1 실시예에 따른 웨이퍼 장전 인출 방법을 도시하는 순차도,
도 8은 본 발명의 제 2 실시예에 따른 웨이퍼 장전 인출 방법을 도시하는 순차도,
도 9는 본 발명의 제 3 실시예에 따른 웨이퍼 장전 인출 방법을 도시하는 순차도,
도 10은 본 발명의 제 4 실시예에 따른 웨이퍼 장전 인출 방법을 도시하는 순차도.
<도면의 주요 부분에 대한 부호의 설명>
1 : 반도체 제조 장치 2 : 하우징
3 : 히터 유닛 4 : 프로세스 튜브
5 : 가스 도입관 6 : 배기관
7 : 승강기 8 : 보트 (boat)
9 : 웨이퍼(기판) 10 : 포드 (pod)
10a : 캡 11 : 포드 스테이지
12 : 포드 선반 13 : 웨이퍼 로딩 포트
14 : 포드 반송 장치 15 : 웨이퍼 탑재 이송 장치
20 : 포드 오프너(개폐 장치) 21 : 베이스
22 : 웨이퍼 출입구 23 : 지지대
24 : 가이드 레일 25 : 가이드 블럭
26 : 에어 실린더 장치 27 : 탑재대
28 : 위치 결정핀 30 : 가이드 레일
31 : 좌우 방향 이동대 32 : 에어 실린더 장치
32a : 피스톤 로드 33 : 가이드 레일
34 : 전후 방향 이동대 35 : 가이드 구멍
36 : 브래킷 37 : 로터리 액츄에이터
37a : 아암 38 : 가이드 핀
39 : 브래킷 40 : 클로우저
41 : 잠금 해제축 41a : 결합부
42 : 풀리 43 : 벨트
44 : 연결편 45 : 에어 실린더 장치
46 : 흡착구 47 : 흡입구부재
50 : 로터리 액츄에이터 50a : 회전축
51 : 아암 52 : 삽입 구멍
53 : 맵핑 (mapping) 장치 54, 55, 56 : 패킹 (packing)
본 발명은 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조 방법에 관한 것으로서, 특히 포드(pod)를 개폐하는 기술에 관련하여, 예컨대 반도체 소자를 포함하는 반도체 집적 회로를 장착한 기판으로서의 반도체 웨이퍼(이하, 웨이퍼라고 함)에 절연막이나 금속막 등의 CVD막을 형성하거나 불순물을 확산하거나 하는 배치(batch)식 종형 확산·CVD 장치 그리고 이것을 사용하여 성막하거나 불순물을 확산하거나 하는 기판 처리 방법 및 반도체 장치를 제조하는 방법에 이용하기 유효한 것에 관한 것이다.
기판 처리 장치의 일례인 배치식 종형 확산·CVD 장치(이하, 반도체 제조 장 치라고 함)에 있어서는 미처리 웨이퍼가 캐리어(웨이퍼 수납 용기)에 수납된 상태로 반도체 제조 장치의 외부에서 반입된다. 종래의 이러한 종류의 캐리어로서, 서로 대향하는 한 쌍의 면이 개구된 대략 입방체의 상자형상으로 형성되어 있는 카세트와, 하나의 면이 개구된 대략 입방체의 상자형상으로 형성되고 개구면에 캡이 탈착 가능하게 장착되어 있는 FOUP(front opening unified pod; 이하, 포드라고 함)가 있다.
웨이퍼의 캐리어로서 포드가 사용되는 경우에는 웨이퍼가 밀폐된 상태로 반송되기 때문에, 주위의 분위기에 이물질 등이 존재하고 있었다고 해도 웨이퍼의 청정도는 유지할 수 있다. 따라서, 반도체 제조 장치가 설치되는 클린 룸 내의 청정도를 그다지 높게 설정할 필요가 없어지므로, 클린 룸에 필요한 비용을 저감할 수 있다. 그래서, 최근의 반도체 제조 장치에 있어서는 웨이퍼의 캐리어로서 포드가 사용되어 오고 있다.
웨이퍼의 캐리어로서 포드를 사용한 반도체 제조 장치에 있어서는 캡의 개폐시에 하우징 및 포드내의 웨이퍼의 청정도를 유지하면서 웨이퍼를 포드에 대하여 출납 가능하게 하는 포드 개폐 장치(이하, 포드 오프너라고 함)가 설치되어 있다. 종래의 이러한 종류의 포드 오프너로서, 일본 특허 공개 공보 제1996-279546호에 개시되어 있는 것이 있다. 즉, 이 포드 오프너는 웨이퍼 로딩 포트에 설치되어 있고, 웨이퍼 로딩 포트에 탑재된 포드의 캡에 마찰 결합에 의해서 고정하는 클로우저를 구비하고 있고, 클로우저가 캡을 고정한 상태로 하강함으로써 포드를 개방하도록 구성되어 있다.
그러나, 종래의 반도체 제조 장치에 있어서는 웨이퍼 로딩 포트가 한 개밖에 설정되어 있지 않음으로써, 웨이퍼의 탑재 이송 시간에 포드의 교환 시간이 더해지게 되므로, 반도체 제조 장치 전체로서의 처리 시간이 길어져, 반도체 제조 장치의 시스템 효율(throughput)이 저하한다고 하는 문제점이 있다.
본 발명의 목적은 시스템 효율을 높일 수 있는 기판 처리 장치 및 이것을 사용한 기판 처리 방법 및 반도체 장치의 제조 방법을 제공하는 것에 있다.
상기 과제를 해결하기 위한 수단은 기판 로딩 포트가 복수 설치되어 있음과 동시에, 각 기판 로딩 포트에는 포드의 캡을 개폐하는 개폐 장치가 각각 설치되어 있는 것을 특징으로 한다.
상기한 수단에 의하면, 복수의 기판 로딩 포트의 하나에 있어서의 포드에 대한 기판의 출납 작업중에, 다른쪽 기판 로딩 포트로의 포드의 반입 반출 작업이나 기판 로딩을 위한 준비작업을 동시에 진행시킬 수 있기 때문에, 포드를 교체할 때의 대기 시간을 없애 시스템 효율을 높일 수 있다.
이하, 본 발명의 1실시예를 도면에 의거하여 설명한다.
본 실시예에 있어서, 본 발명에 관한 기판 처리 장치는 도 1에 도시하는 바와 같이 반도체 제조 장치, 즉 배치식 종형 확산·CVD 장치로서 구성되어 있다. 도 1에 도시되어 있는 반도체 제조 장치(1)는 기밀실 구조로 구축된 하우징(2)을 구비하고 있다. 하우징(2)내의 한쪽 단부(이하, 후단부라고 함)의 상부에는 히터 유닛(3)이 수직 방향으로 설치되어 있고, 히터 유닛(3)의 내부에는 프로세스 튜브(4)가 동심으로 배치되어 있다. 프로세스 튜브(4)에는 프로세스 튜브(4)내에 원료 가스나 퍼지 가스 등을 도입하기 위한 가스 도입관(5)과, 프로세스 튜브(4)내를 진공 배기하기 위한 배기관(6)이 접속되어 있다. 하우징(2)의 후단부의 하부에는 승강기(7)가 설치되어 있고, 승강기(7)는 프로세스 튜브(4)의 바로 아래에 배치된 보트(8)를 수직 방향으로 승강시키도록 구성되어 있다. 보트(8)는 다수매의 웨이퍼(9)를 중심을 맞추어서 수평으로 배치한 상태로 지지하고, 프로세스 튜브(4)의 처리실에 대하여 반입 반출하도록 구성되어 있다.
하우징(2)의 정면벽에는 포드 출납구(도시하지 않음)가 개설되어 있고, 포드 출납구는 프론트 셔터에 의해서 개폐되도록 되어 있다. 포드 출납구에는 포드(10)의 위치 정렬을 실행하는 포드 스테이지(11)가 설치되어 있고, 포드(10)는 포드 출납구를 통하여 포드 스테이지(11)로 출납되도록 되어 있다.
하우징(2)내의 전후 방향의 중앙부의 상부에는 회전식의 포드 선반(12)이 설치되어 있고, 회전식 포드 선반(12)은 총 8개의 포드(10)를 보관하도록 구성되어 있다. 즉, 회전식 포드 선반(12)은 대략 만자(卍)형상으로 형성된 선반판이 상하 2단으로 배치되어 수평면내에서 회전 가능하게 지지되어 있고, 모터 등의 간헐 회전 구동 장치(도시하지 않음)에 의해서 피치 이송적으로 한 방향으로 회전되도록 되어 있다. 하우징(2)내의 포드 선반(12)의 하측에는 기판으로서의 웨이퍼(9)를 로딩하기 위한 웨이퍼 로딩 포트(13)가 한 쌍, 수직 방향으로 상하 2단으로 배치되어 설치되어 있고, 양 웨이퍼 로딩 포트(13, 13)에는 후기하는 포드 오프너(20)가 각각 설치되어 있다. 또한, 편의상 도 1에 있어서는 포드 선반은 합계 8개의 포드를 보관하도록 도시되어 있지만, 최대 16개의 포드를 보관할 수 있다.
하우징(2)내의 포드 스테이지(11)와 포드 선반(12) 및 웨이퍼 로딩 포트(13) 사이에는 포드 반송 장치(14)가 설치되어 있고, 포드 반송 장치(14)는 포드 스테이지(11)와 포드 선반(12) 및 웨이퍼 로딩 포트(13) 사이 그리고 포드 선반(12)과 웨이퍼 로딩 포트(13) 사이에서 포드(10)를 반송하도록 구성되어 있다. 또한, 웨이퍼 로딩 포트(13)와 보트(8) 사이에는 웨이퍼 탑재 이송 장치(15)가 설치되어 있고, 웨이퍼 탑재 이송 장치(15)는 웨이퍼 로딩 포트(13)와 보트(8) 사이에서 웨이퍼(9)를 반송하도록 구성되어 있다.
상하의 웨이퍼 로딩 포트(13, 13)에 설치된 포드 오프너(20, 20)는 동일하게 구성되어 있기 때문에, 포드 오프너(20)의 구성에 대해서는 상단의 웨이퍼 로딩 포트(13)에 설치된 것에 대해서 설명한다.
도 1에 도시한 바와 같이, 포드 오프너(20)는 하우징(2)내에서 웨이퍼 로딩 포트(13)와 웨이퍼 탑재 이송 장치(15)를 나누듯이 수직으로 입각된 측벽을 이루는 베이스(21)를 구비하고 있고, 도 2 및 도 3에 도시한 바와 같이, 베이스(21)에는 포드(10)의 캡(10a)과 약간 크게 상사(相似)하는 사각형으로 형성된 웨이퍼 출입구(22)가 개설되어 있다. 또한, 베이스(21)는 상하의 포드 오프너(20, 20)에서 공용되고 있기 때문에, 베이스(21)에는 상하로 한 쌍의 웨이퍼 출입구(22, 22)가 수직 방향으로 세로로 나란하게 개설되어 있다.
도 2에 도시된 바와 같이, 베이스(21)의 웨이퍼 로딩 포트(13)측의 주면(이 하, 정면이라고 함)에 있어서의 웨이퍼 출입구(22)의 하측에는 지지대(23)가 수평으로 고정되어 있는데, 지지대(23)를 평면으로 본 형상은 일부가 절결된 대략 정방형의 프레임형상으로 형성되어 있다. 지지대(23)의 상면에는 한 쌍의 가이드 레일(24, 24)이 베이스(21)의 정면과 평행 방향(이하, 좌우 방향이라고 함)으로 배치되고, 베이스(21)의 정면과 직각 방향(이하, 전후 방향이라고 함)으로 연장하도록 부설되어 있으며, 좌우의 가이드 레일(24, 24)에는 탑재대(27)가 복수개의 가이드 블럭(25)을 거쳐서 전후 방향으로 미끄럼운동 가능하게 지지되어 있다. 탑재대(27)는 지지대(23)의 상면에 설치된 에어 실린더 장치(26)에 의해서 전후 방향으로 왕복 이동되도록 되어 있다.
도 2에 도시된 바와 같이, 탑재대(27)는 일부가 절결된 대략 정방형의 프레임형상으로 형성되어 있고, 탑재대(27)의 상면에는 위치 결정핀(28)이 3개, 정삼각형의 정상점에 배치되어 수직으로 돌출되어 있다. 3개의 위치 결정핀(28)은 포드(10)가 도 3에 도시된 바와 같이 탑재대(27)상에 탑재된 상태에 있어서, 포드(10)의 하면에 형성된 3개의 위치 결정 오목부(도시하지 않음)에 끼워지도록 되어 있다.
도 4에 도시한 바와 같이, 베이스(21)의 웨이퍼 탑재 이송 장치(15)측의 주면(이하, 배면이라고 함)에 있어서의 웨이퍼 출입구(22)의 하측에는 가이드 레일(30)이 좌우 방향으로 수평으로 부설되어 있고, 가이드 레일(30)에는 앵글형상으로 형성된 좌우 방향 이동대(31)가 좌우 방향으로 왕복 이동할 수 있도록 미끄럼운동 가능하게 지지되어 있다. 좌우 방향 이동대(31)의 수직부재에는 에어 실린더 장치(32)가 좌우 방향으로 수평하게 설치되어 있고, 에어 실린더 장치(32)의 피스톤 로드(32a)의 선단부는 베이스(21)에 고정되어 있다. 즉, 좌우 방향 이동대(31)는 에어 실린더 장치(32)의 신축 작동에 의해서 좌우 방향으로 왕복 구동되도록 되어 있다.
도 5에 도시한 바와 같이, 좌우 방향 이동대(31)의 수평부재의 상면에는 한 쌍의 가이드 레일(33, 33)이 좌우로 배치되어 전후 방향으로 연장하도록 부설되어 있고, 양 가이드 레일(33, 33)에는 전후 방향 이동대(34)가 전후 방향으로 왕복 이동할 수 있도록 미끄럼운동 가능하게 지지되어 있다. 전후 방향 이동대(34)의 한 쪽 단부에는 가이드 구멍(35)이 좌우 방향으로 연장하도록 개설되어 있다. 좌우 방향 이동대(31)의 한 쪽 측면에는 브래킷(36)이 고정되어 있고, 브래킷(36)에는 로터리 액츄에이터(37)가 수직 방향으로 상방을 향해 설치되어 있다. 로터리 액츄에이터(37)의 아암(37a)의 선단부에 수직으로 입각된 가이드 핀(38)은 전후 방향 이동대(34)의 가이드 구멍(35)에 미끄럼운동 가능하게 끼워져 있다. 즉, 전후 방향 이동대(34)는 로터리 액츄에이터(37)의 왕복 회전 운동에 의해서 전후 방향으로 왕복 구동되도록 구성되어 있다.
전후 방향 이동대(34)의 상면에는 브래킷(39)이 수직으로 입각되어 있고, 브래킷(39)의 정면에는 웨이퍼 출입구(22)에 약간 크게 상사하는 직사각형의 평판형상으로 형성된 클로우저(40)가 수직으로 고정되어 있다. 즉, 클로우저(40)는 전후 방향 이동대(34)에 의해서 전후 방향으로 왕복 이동되도록 되어 있음과 동시에, 좌우 방향 이동대(31)에 의해서 좌우 방향으로 왕복 이동되도록 되어 있다. 그리고, 클로우저(40)는 전진 이동하여 그 베이스측을 향한 주면(이하, 정면이라고 함)이 베이스(21)의 배면에 접함으로써 웨이퍼 출입구(22)를 폐색할 수 있도록 되어 있다. 또한, 도 5 및 도 6에 도시된 바와 같이, 베이스(21)의 정면에 있어서의 웨이퍼 출입구(22)의 주변에는 포드(10)의 가압시에 포드(10)의 웨이퍼 출납구 및 베이스(21)의 웨이퍼 출입구(22)를 밀봉하는 패킹(54)이 부설되어 있다. 클로우저(40)의 정면에 있어서의 외주 연부 부근에는 클로우저(40)의 가압시에 베이스(21)의 웨이퍼 출입구(22)를 밀봉하기 위한 패킹(55)이 부설되어 있다. 클로우저(40)의 정면에 있어서의 외주 연부의 패킹(55)의 내측에는 캡(10a)에 부착한 이물질이 웨이퍼 탑재 이송 장치(15)의 설치실 측으로 침입하는 것을 방지하기 위한 패킹(56)이 부설되어 있다.
도 4에 도시된 바와 같이, 클로우저(40)의 상하 방향의 중심선 상에는 한 쌍의 잠금 해제축(41, 41)이 좌우에 배치되고 전후 방향으로 삽입되어 회전 가능하게 지지되어 있다. 양 잠금 해제축(41, 41)에 있어서의 클로우저(40)의 베이스와 반대측의 주면(이하, 배면이라고 함)측의 단부에는 한 쌍의 풀리(42, 42)가 고정되어 있고, 양 풀리(42, 42) 사이에는 연결편(44)을 갖는 벨트(43)가 감겨 있다. 클로우저(40)의 배면에서의 한쪽의 풀리(42)의 상측에는 에어 실린더 장치(45)가 수평으로 설치되어 있고, 에어 실린더 장치(45)의 피스톤 로드의 선단부는 벨트(43)의 연결편(44)에 연결되어 있다. 즉, 양 잠금 해제축(41, 41)은 에어 실린더 장치(45)의 신축작동에 의해서 왕복 회전 운동하도록 되어 있다. 도 2에 도시한 바와 같이, 양 잠금 해제축(41, 41)의 클로우저(40)의 정면측의 단부에는 캡(10a) 의 걸쇠(도시하지 않음)에 결합하는 결합부(41a)가 직교하여 돌출되어 있다.
도 2에 도시된 바와 같이, 클로우저(40)의 정면에 있어서의 한쪽의 대각(對角) 부근에는 캡(10a)의 표면에 흡착하는 두 개의 흡착구(흡입판)(46)가 흡입구 부재(47)에 의해서 각각 고정되어 있다. 흡착구(46)를 고정하는 흡입구 부재(47)는 중공축으로 구성되어 있고, 흡입구 부재(47)의 배면측 단부는 급배기로(도시하지 않음)에 접속되어 있다. 흡입구 부재(47)의 정면측 단부의 외경은 캡(10a)에 설치된 위치 결정 구멍(도시하지 않음)에 끼워지도록 설정되어 있다. 즉, 흡입구 부재(47)는 캡(10a)의 위치 결정 구멍에 끼워져 캡(10a)을 기계적으로 지지하기 위한 지지핀을 겸용하도록 구성되어 있다.
도 2, 4 및 6에 도시된 바와 같이, 베이스(21)의 정면에서의 웨이퍼 출입구(22)의 한쪽 옆에는 로터리 액츄에이터(50)가 회전축(50a)이 수직 방향이 되도록 설치되어 있고, 회전축(50a)에는 대략 C자 형상으로 형성된 아암(51)의 한쪽 단부가 수평면 내에서 일체식으로 회전 운동하도록 고정되어 있다. 아암(51)은 베이스(21)에 개설된 삽입 구멍(52)에 삽입되어 있고, 아암(51)의 베이스(21)의 배면측의 선단부에는 맵핑 장치(53)가 고정되어 있다.
다음에, 본 발명의 1실시예에 관한 반도체 장치의 제조 방법의 특징적인 공정에 있어서, 본 발명의 1실시예에 관한 기판 처리 방법인 웨이퍼 포트로의 장착 및 탈착 방법을 상기 구성에 관한 반도체 제조 장치를 사용하여 실시하는 경우에 대하여 도 7에 도시된 순서에 따라 설명한다. 또한, 설명을 이해하기 쉽게 하기 위해서, 이하의 설명에 있어서는 한 쪽의 웨이퍼 로딩 포트(13)를 상단 포트(A)로 하고, 다른 쪽의 웨이퍼 로딩 포트(13)를 하단 포트(B)로 한다.
도 7에 도시된 순서가 실시되기 전에 미리 도 1에 도시된 바와 같이, 하우징(2)내의 포드 스테이지(11)로 포드 출납구에서 반입된 포드(10)는 포드 반송 장치(14)에 의해서 지정된 포드 선반(12)에 적절하게 반송되어 일시적으로 보관된다.
포드 선반(12)에 사전에 보관된 포드(10)는 포드 반송 장치(14)에 의해서 적절하게 픽업되어, 도 7에 도시된 실(實) 포드 반입 단계(S1)에 있어서, 상단 포트(A)로 반송되고, 포드 오프너(20)의 탑재대(27)로 도 3에 도시된 바와 같이 탑재 이송된다. 이 때, 포드(10)의 하면에 형성된 위치 결정 오목부가 탑재대(27)의 3개의 위치 결정핀(28)과 각각 맞물림으로써, 포드(10)와 탑재대(27)의 위치 정렬이 실행된다.
포드(10)가 탑재대(27)에 탑재되어 위치 정렬되면, 탑재대(27)가 에어 실린더 장치(26)에 의해서 베이스(21)의 방향으로 가압되고, 도 6a에 도시된 바와 같이, 포드(10)의 개구부측 단부면이 베이스(21)의 정면에서의 웨이퍼 출입구(22)의 개구 둘레부에 가압 밀착된다. 또한, 포드(10)가 베이스(21)의 방향으로 가압되면, 클로우저(40)의 잠금 해제축(41)이 캡(10a)의 열쇠 구멍에 삽입된다.
계속해서, 부압이 클로우저(40)의 흡입구 부재(47)에 급배기로로부터 공급됨으로써, 포드(10)의 캡(10a)이 흡착구(46)에 의해서 진공 흡착 유지된다. 이 상태로, 잠금 해제축(41)이 에어 실린더 장치(45)에 의해서 회전 운동되면, 잠금 해제축(41)은 캡(10a)측의 걸쇠에 결합한 결합부(41)에 의해서 캡(10a)의 걸쇠의 잠금 을 해제한다.
이어서, 전후 방향 이동대(34)가 로터리 액츄에이터(37)의 작동에 의해서 베이스(21)로부터 멀어지는 방향으로 이동되고, 계속해서 좌우 방향 이동대(31)가 에어 실린더 장치(32)의 작동에 의해서 웨이퍼 출입구(22)로부터 멀어지는 방향으로 이동됨으로써, 캡(10a)을 흡착구(46)에 의해서 진공 흡착 유지한 클로우저(40)가 베이스(21)의 배면에서의 후퇴위치로 이동된다. 이 클로우저(40)의 이동에 의해서, 캡(10a)이 포드(10)의 개구부에서 분리되기 때문에, 도 6b에 도시한 바와 같이, 포드(10)가 개방된다. 이상과 같은 순서에 의해서, 상단 포트(A)에 있어서는 도 7의 실 포드 개방 단계(S2)가 실행된 것이 된다.
다음에, 도 7에 도시한 바와 같이, 상단 포트(A)에 있어서는 맵핑 단계(S3)가 실행된다. 즉, 도 6b에 도시된 바와 같이, 맵핑 장치(53)가 로터리 액츄에이터(50)의 작동에 의해서 이동되어, 포드(10)의 개구부에 삽입된다. 포드(10)의 개구부에 삽입된 맵핑 장치(53)는 포드(10)에 수납된 복수 매의 웨이퍼(9)를 검출함으로써 맵핑한다. 여기서, 맵핑이란 포드(10) 내부의 웨이퍼(9)의 소재 위치(웨이퍼(9)가 어느 슬릿에 있는가)를 확인하는 것이다. 지정된 맵핑 작업이 종료하면, 맵핑 장치(53)는 로터리 액츄에이터(50)의 작동에 의해서 본래의 대기 위치로 되돌려진다.
맵핑 장치(53)가 대기 위치로 되돌아가면, 상단 포트(A)에서 개방된 포드(10)의 복수 매의 웨이퍼(9)는 보트(8)로 웨이퍼 탑재 이송 장치(15)에 의해서 순차적으로 장전된다. 즉, 도 7의 장전 단계(S4-1)가 실행된다.
이 상단 포트(A)에 있어서의 웨이퍼 탑재 이송 장치(15)에 의한 웨이퍼(9)의 장전 작업 중[장전 단계(S4-1)의 실행 중]에 도 7에 도시된 바와 같이, 하단 포트(B)에 있어서는 실 포드 반입 단계(S1), 실 포드 개방 단계(S2) 및 맵핑 단계(S3)가 실행된다. 즉, 하단 포트(B)에는 포드 선반(12)에서 별도의 포드(10)가 포드 반송 장치(14)에 의해서 반송되어 탑재 이송되고, 포드 오프너(20)에 의한 전술한 위치 결정 작업으로부터 맵핑 작업이 동시에 진행된다. 또한, 하단 포트(B)에 있어서 맵핑 단계(S3)가 완료한 후에 상단 포트(A)에 있어서 장전 단계(S4-1)가 계속중인 경우에는 하단 포트(B)에 있어서는 대기 단계(St)가 적절하게 실행되게 된다.
이와 같이 하단 포트(B)에 있어서 맵핑 단계(S3)까지가 동시 진행되고 있으면, 상단 포트(A)에 있어서의 웨이퍼(9)의 장전 작업의 종료와 동시에, 하단 포트(B)에 대기시킨 포드(10)에 대한 웨이퍼(9)의 웨이퍼 탑재 이송 장치(15)에 의한 장전 작업을 개시할 수 있다. 즉, 웨이퍼 탑재 이송 장치(15)는 포드(10)의 교체 작업에 대한 대기 시간을 낭비하지 않고 웨이퍼 탑재 이송 (웨이퍼 로딩) 작업을 연속하여 실시할 수 있기 때문에, 반도체 제조 장치(1)의 시스템 효율을 높일 수 있다.
반대로, 도 7에 도시한 바와 같이, 상단 포트(A)에 있어서 장전 단계(S4-1)가 종료하면, 빈 포드 폐쇄 단계(S5)가 실행된다. 즉, 클로우저(40)에 유지되어 후퇴되어 있던 캡(10a)이 웨이퍼 출입구(22)의 위치에 좌우 방향 이동대(31)에 의해서 되돌려지고, 전후 방향 이동대(34)에 의해서 웨이퍼 출입구(22)에 삽입되어 포드(10)의 개구부에 끼워진다. 캡(10a)이 포드(10)에 끼워지면, 잠금 해제축(41)이 에어 실린더 장치(45)에 의해서 회전 운동되어, 캡(10a)의 걸쇠를 잠근다. 캡(10a)의 잠금이 종료하면, 정압이 흡입구 부재(47)로 급배기로로부터 공급됨으로써, 흡착구(46)의 진공 흡착 유지가 해제된다. 계속해서, 탑재대(27)가 에어 실린더 장치(26)에 의해서 베이스(21)로부터 멀어지는 방향으로 이동되어, 포드(10)의 개구측 단면이 베이스(21)의 정면에서 멀어진다.
캡(10a)에 의해서 웨이퍼 출입구가 폐쇄된 상단 포트(A)의 빈 포드(10)는 도 7의 빈 포드 반출 단계(S6)에 있어서, 포드 선반(12)에 포드 반송 장치(14)에 의해서 반송되어 일시적으로 되돌려진다.
빈 포드(10)가 상단 포트(A)로부터 반출되면, 도 7에 도시한 바와 같이, 다음의 실 포드(10)가 상단 포트(A)로 반입되는 실 포드 반입 단계(S1)가 실행된다, 이후 상단 포트(A)에 있어서는 전술한 각 단계(S2 내지 S6)가 필요한 회수만큼 반복된다. 단, 맵핑 단계(S3) 후에 필요에 따라 대기 단계(St)가 실행된다.
이상의 상단 포트(A)에 있어서의 빈 포드 폐쇄 단계(S5) 내지 대기 단계(St)의 실행 중에, 도 7에 도시된 바와 같이, 하단 포트(B)에 있어서는 장전 단계(S4-2)가 전술한 상단 포트(A)의 그것과 동일한 방식으로 실행된다.
하단 포트(B)에 있어서 장전 단계(S4-2)가 종료하면, 도 7에 도시된 바와 같이, 빈 포드 폐쇄 단계(S5)가 실행된다. 계속해서, 하단 포트(B)의 빈 포드(10)는 빈 포드 반출 단계(S6)에 있어서 포드 선반(12)에 포드 반송 장치(14)에 의해서 반송되어 일시적으로 되돌려진다. 빈 포드(10)가 하단 포트(B)로부터 반출되면, 도 7에 도시된 바와 같이, 다음 실 포드(10)가 하단 포트(B)로 반입되는 실 포드 반출 단계(S1)가 실행된다. 이후, 하단 포트(B)에 있어서는 전술한 각 단계(S2 내지 S6)가 필요한 회수만큼 반복된다.
이와 같이 상단 포트(A)에 있어서 맵핑 단계(S3)까지가 동시 진행되고 있으면, 하단 포트(B)에 있어서의 웨이퍼(9)의 장전 작업 종료와 동시에, 상단 포트(A)에 대기시킨 포드(10)에 대한 웨이퍼(9)의 웨이퍼 탑재 이송 장치(15)에 의한 장전 작업을 개시할 수 있다. 즉, 웨이퍼 탑재 이송 장치(15)는 포드(10)의 교환 작업에 의한 대기 시간을 낭비하지 않고 웨이퍼 탑재 이송 (로딩) 작업을 연속하여 실행할 수 있으므로, 반도체 제조 장치(1)의 시스템 효율을 높일 수 있다.
이상과 같이 하여 상단 포트(A)와 하단 포트(B)에 대한 웨이퍼 탑재 이송 장치(15)에 의한 장전 단계(S4-1, S4-2, S4-3, S4-4)가 교대로 반복됨으로써, 복수 매의 웨이퍼(9)가 포드(10)로부터 보트(8)로 장전되어 간다. 이 때, 배치 처리하는 웨이퍼(9)의 매수(예컨대, 100장 내지 150장)는 한 대의 포드(10)에 수납된 웨이퍼(9)의 매수(예컨대, 25장)보다도 몇 배나 많기 때문에, 복수대의 포드(10)가 상단 포트(A)와 하단 포트(B)로 포드 반송 장치(14)에 의해서 교대로 반복 공급되게 된다. 즉, 전술한 단계 S1 내지 단계 S6이 상단 포트(A)와 하단 포트(B)에 있어서 복수 회 반복된다. 예컨대, 1회의 배치 처리의 웨이퍼 매수가 100매인 경우에는 전술한 단계 S1 내지 단계 S6이 상단 포트(A)와 하단 포트(B)에 있어서 2회씩 반복된다.
사전에 지정된 복수 매의 웨이퍼(9)가 포드(10)로부터 보트(8)로 탑재 이송 되면, 도 7에 도시된 바와 같이, 성막 단계(Sp)가 실행된다. 즉, 보트(8)는 승강기(7)에 의해서 상승되어 프로세스 튜브(4)의 처리실로 반입된다. 보트(8)가 상한에 도달하면, 보트(8)를 유지한 캡의 상면의 주변부가 프로세스 튜브(4)를 밀봉 상태로 폐쇄하기 때문에, 처리실은 기밀하게 폐쇄된 상태로 된다.
프로세스 튜브(4)의 처리실이 기밀하게 폐쇄된 상태에서, 소정의 진공도로 배기관(6)에 의해서 진공 배기되고, 히터 유닛(3)에 의해서 소정의 온도로 가열되고, 소정의 원료 가스가 가스 도입관(5)에 의해서 소정의 유량만큼 공급된다. 이것에 의해서, 소정의 막이 웨이퍼(9)에 형성된다.
그리고, 사전에 설정된 처리 시간이 경과하면, 보트(8)가 승강기(7)에 의해서 하강됨으로써, 처리가 완료된 웨이퍼(9)를 유지한 보트(8)가 원래의 장전 및 인출 스테이션(이하, 장전 스테이션이라고 함)으로 반출된다.
이상의 성막 단계(Sp)의 실행 중에 상단 포트(A) 및/또는 하단 포트(B)에 있어서는 처리 완료 웨이퍼 회수 준비 작업이 동시에 진행되고 있다. 예컨대, 도 7에 도시된 바와 같이, 빈 포드 반입 단계(S7)에 있어서, 빈 포드(10)가 상단 포트(A)로 반입되고, 빈 포드 개방 단계(S8)에 있어서, 빈 포드(10)의 캡(10a)이 벗겨진다.
그리고, 도 7에 도시된 바와 같이, 상단 포트(A)의 인출 단계(S9-1)에 있어서, 장전 스테이션으로 반출된 보트(8)의 처리 완료 웨이퍼(9)는 웨이퍼 탑재 이송 장치(15)에 의해서 인출되고, 상단 포트(A)로 사전에 반입되어 캡(10a)을 벗기고 개방된 빈 포드(10)에 수용(언로딩)된다.
상단 포트(A)의 빈 포드(10)로의 소정의 매수의 웨이퍼(9)의 수용이 종료하면, 도 7에 도시된 바와 같이, 처리 완료 포드 폐쇄 단계(S10)가 실행된다. 즉, 클로우저(40)에 유지되어 후퇴되어 있던 캡(10a)이 웨이퍼 출입구(22)의 위치에 좌우 방향 이동대(31)에 의해서 되돌려지고, 전후 방향 이동대(34)에 의해서 웨이퍼 출입구(22)에 삽입되어 포드(10)의 개구부에 끼워진다. 캡(10a)이 포드(10)에 끼워지면, 잠금 해제축(41)이 에어 실린더 장치(45)에 의해서 회전 운동되어, 캡(10a)의 걸쇠를 잠근다. 캡(10a)의 잠금이 종료하면, 정압이 급배기로로부터 흡입구 부재(47)로 공급됨으로써, 흡착구(46)의 캡(10a)의 진공 흡착 유지가 해제된다. 계속해서, 탑재대(27)가 에어 실린더 장치(26)에 의해서 베이스(21)로부터 멀어지는 방향으로 이동되고, 포드(10)의 개구측 단부면이 베이스(21)의 정면으로부터 멀어진다.
이어서, 도 7에 도시된 처리 완료 실 포드 반송 단계(S11)에 있어서, 처리 완료 웨이퍼(9)가 수납된 처리 완료 실 포드(10)는 포드 선반(12)에 포드 반송 장치(14)에 의해서 반송되어 되돌려진다.
이상의 상단 포트(A)에 있어서의 인출 단계(S9-1)의 실행 중에 도 7에 도시된 바와 같이, 하단 포트(B)에 있어서는 빈 포드 반입 단계(S7) 및 빈 포드 개방 단계(S8)가 상단 포트(A)의 경우와 동일하게 실행된다. 하단 포트(B)에 있어서 빈 포드 개방 단계(S8)기 종료한 후에 상단 포트(A)에 있어서 인출 단계(S9-1)가 계속중인 경우에는 하단 포트(B)에 있어서는 대기 단계(St)가 적절하게 실행되게 된다.
이와 같이 상단 포트(A)의 인출 단계(S9-1)의 실행 중에 하단 포트(B)에 있 어서 빈 포드 개방 단계(S8)까지가 동시에 진행되고 있으면, 상단 포트(A)에 있어서의 웨이퍼(9)의 인출 작업의 종료와 동시에 하단 포트(B)에 대기시킨 포드(10)에 대한 웨이퍼(9)의 웨이퍼 탑재 이송 장치(15)에 의한 인출 작업을 개시할 수 있다. 즉, 웨이퍼 탑재 이송 장치(15)는 포드(10)의 교환 작업에 대한 대기 시간을 낭비하지 않고 웨이퍼 탑재 이송 (웨이퍼 언로딩) 작업을 연속하여 실시할 수 있기 때문에, 반도체 제조 장치(1)의 시스템 효율을 높일 수 있다.
이상의 처리가 끝난 웨이퍼(9)의 인출 작업 시에도, 보트(8)가 장전하여 배치 처리한 웨이퍼(9)의 매수는 1대의 빈 포드(10)에 수납하는 웨이퍼(9)의 매수보다도 몇 배나 많기 때문에, 복수대의 포드(10)가 상단 포트(A)와 하단 포트(B)에 교대로 포드 반송 장치(14)에 의해서 반복하여 공급되게 된다. 이 경우에도, 상단 포트(A)[또는 하단 포트(B)]로의 인출 단계(S9-1)의 실행 중에 하단 포트(B)[또는 상단 포트(A)]로의 빈 포드(10)의 반송이나 인출 준비 작업이 동시에 진행됨으로써, 웨이퍼 탑재 이송 장치(15)는 포드(10)의 교체 작업에 대한 대기 시간을 낭비하지 않고 웨이퍼 인출 작업을 연속하여 실시할 수 있기 때문에, 반도체 제조 장치(1)의 시스템 효율을 높일 수 있다.
처리 완료 웨이퍼(9)를 수납하여 포드 선반(12)으로 되돌려진 포드(10)는 포드 선반(12)으로부터 포드 스테이지(11)로 포드 반송 장치(14)에 의해서 반송된다. 포드 스테이지(11)로 탑재 이송된 포드(10)는 포드 출납구로부터 하우징(2)의 외부로 반출되어, 세정 공정이나 성막 검사 공정 등의 다음 공정으로 반송된다. 그리고, 신규의 웨이퍼(9)를 수납한 포드(10)가 하우징(2)내의 포드 스테이지(11)로 포 드 출납구로부터 반입된다.
또한, 신구 포드(10)의 포드 스테이지(11)로의 반입 반출(포드 로딩 및 포드 언로딩)작업 및 포드 스테이지(11)와 포드 선반(12) 사이의 교체 작업은, 프로세스 튜브(4)에 있어서의 보트(8)의 반입 반출 (보트 로딩 및 보트 언로딩) 작업이나 성막 처리 동안 즉, 성막 단계(Sp)의 실행 중에 동시 진행되기 때문에, 반도체 제조 장치(1)의 전체로서의 작업 시간이 연장되는 것을 방지할 수 있다.
이후, 이상 설명한 웨이퍼 장전 인출 방법 및 성막 방법이 반복되어, CVD막이 웨이퍼(9)로 반도체 제조 장치(1)에 의해서 형성되고, 반도체 소자를 포함하는 집적회로가 웨이퍼(9)에 작성되는 반도체 장치의 제조 방법에 있어서의 성막 공정이 실시되게 된다.
상기 실시예에 의하면, 다음 효과를 얻을 수 있다.
1) 한 쌍의 웨이퍼 로딩 포트(13, 13)를 상하로 2단 설치함과 동시에, 양 웨이퍼 로딩 포트(13, 13)에는 포드(10)의 캡(10a)을 개폐하는 포드 오프너(20)를 각각 설치함으로써, 한쪽의 웨이퍼 로딩 포트(13)에 있어서의 포드(10)에 대한 웨이퍼(9)의 출납 작업 (웨이퍼 로딩 및 웨이퍼 언로딩) 중에, 다른 쪽의 웨이퍼 로딩 포트(13)로의 포드(10)의 반입 반출작업이나 웨이퍼 로딩 또는 웨이퍼 언로딩을 위한 준비작업을 동시 진행시킬 수 있으므로, 포드(10)를 교체시킬 때의 대기 시간을 없애 시스템 효율을 높일 수 있다.
2) 한 쌍의 웨이퍼 로딩 포트(13, 13)를 상하로 2단 설치함으로써, 웨이퍼 로딩 포트의 점유 면적을 증가시키지 않아도 되므로, 반도체 제조 장치(1)의 횡폭 의 증가를 회피하면서 시스템 효율을 높일 수 있다.
3) 한 쌍의 웨이퍼 로딩 포트(13, 13)를 상하로 2단 설치함과 동시에, 양 웨이퍼 로딩 포트(13, 13)에는 포드(10)의 캡(10a)을 개폐하는 포드 오프너(20)를 각각 설치함으로써, 웨이퍼 탑재 이송 장치(15)에 폭 방향의 동작을 추가시키지 않아도 되므로, 반도체 제조 장치(1)의 횡폭의 증가를 회피하면서 시스템 효율을 높일 수 있다.
4) 한 쌍의 웨이퍼 로딩 포트(13, 13)를 상하로 2단 설치함과 동시에, 양 웨이퍼 로딩 포트(13, 13)에는 한 쌍의 맵핑 장치(53, 53)를 각각 설치함으로써, 한쪽의 웨이퍼 로딩 포트(13)에 있어서의 포드(10)에 대한 웨이퍼(9)의 출납 작업 중에, 다른 쪽의 웨이퍼 로딩 포트(13)의 포드(10)에 대한 맵핑 작업을 동시 진행시킬 수 있으므로, 포드(10)에 대한 맵핑 작업 시의 대기 시간을 없애 반도체 제조 장치(1)의 시스템 효율을 높일 수 있다.
5) 베이스(21)의 배면의 웨이퍼 출입구(22)의 한쪽 옆에 설치한 로터리 액츄에이터(50)의 회전축(50a)에 아암(51)을 고정함과 동시에, 아암(51)을 베이스(21)에 개설된 삽입 구멍(52)에 삽입시켜, 그 베이스(21)의 정면측의 선단부에 맵핑 장치(53)를 고정함으로써, 맵핑 장치(53)를 원호 궤적에 의해서 포드(10)의 개구부로 출납시킬 수 있으므로, 맵핑 장치(53)의 출납을 위한 구동 장치를 간단하고 또한 작게 구성할 수 있다.
6) 포드(10)의 캡(10a)을 유지한 클로우저(40)가 수평방향으로 이동하도록 포드 오프너(20)를 구성함으로써, 포드 오프너(20)의 높이가 증가하는 것을 방지할 수 있으므로, 복수단의 포드 오프너(20)를 수직방향으로 나란하게 설치한 경우라도 전체의 높이가 현저하게 증가하는 것을 방지할 수 있다. 즉, 클로우저(40)를 수평 이동하도록 구성하는 것에 의한 효과는 복수단의 포드 오프너(20)를 수직방향으로 병설한 경우에 의해서 한층 현저해진다. 환언하면, 클로우저(40)를 수평 이동하도록 구성함으로써, 비로소 복수단의 포드 오프너(20)를 수직방향으로 병설할 수 있다.
여기서, 포드(10)의 캡(10a)을 유지한 클로우저(40)가 수직방향으로 이동하도록 포드 오프너(20)를 구성한 경우에는, 포드 오프너(20)의 높이가 캡(10a)의 높이만큼 증가(대략 2배 증가)해버리기 때문에, 복수단의 포드 오프너(20)를 수직방향으로 설치하면, 높이가 상승적으로 증가해버린다. 그 증가에 따라서 포드 선반(12)은 한층 더 위쪽으로 설치되게 되므로, 포드의 반송 시간이 증가하여 시스템 효율이 저하한다. 또한, 반도체 제조 장치의 높이 규제에 의해서 포드 선반의 정상의 높이는 제한되기 때문에, 포드 선반이 위쪽으로 너무 배치되면 포드 선반의 단수가 감소되게 되어, 포드 선반의 포드의 수납수가 감소해버린다. 즉, 클로우저(40)를 수직 이동하도록 구성하면, 복수단의 포드 오프너(20), 즉 웨이퍼 로딩 포트(13)를 수직 방향으로 병설할 수 없다.
도 8은 본 발명의 제 2 실시예인 웨이퍼 장전 인출 방법을 도시하는 순차도이다.
본 실시예에 있어서는 맵핑 단계는 다음의 예와 같은 방법에 의해서 사전에 완료되어 있다. 포드(10)의 하우징(2)으로의 투입 시에 포드(10)가 웨이퍼 로딩 포트(13)로 포드 반송 장치(14)에 의해서 반송되고, 포드(10)의 캡(10a)이 포드 오프너(20)에 의해서 벗겨지고, 포드(10)내의 웨이퍼(9)가 맵핑 장치(50)로 맵핑된다. 맵핑 종료 후에 포드(10)의 캡(10a)이 포드 오프너(20)에 의해서 폐쇄되고, 포드(10)가 포드 선반(12)으로 포드 반송 장치(14)에 의해서 반송되어 보관된다. 또한, 각 단계에 있어서의 포드 오프너(20)나 맵핑 장치(50) 등의 동작은 상기 제 1 실시예와 동일하다.
사전에 맵핑된 후에 포드 선반(12)에 보관된 포드(10)는 포드 반송 장치(14)에 의해서 적절하게 픽업되고, 도 8에 도시된 실 포드 반입 단계(S1)에 있어서 상단 포트(A)로 반입된다. 상단 포트(A)로 반입된 포드(10)는 캡(10a)을 벗기는 실 포드 개방 단계(S2)를 실행한다. 이어서, 상단 포트(A)의 포드(10)는 웨이퍼 탑재 이송 장치(15)에 의해서 웨이퍼(9)를 보트(8)에 장전하는 장전 단계(S4-1)를 실행한다.
도 8에 도시된 바와 같이, 상단 포트(A)에 있어서의 장전 단계(S4-1)의 실행 중에, 하단 포트(B)에 있어서는 실 포드 반입 단계(S1)가 실행된다. 하단 포트(B)에 반입된 포드(10)는 대기 단계(St)에서 그대로 대기된다. 이와 같이 하단 포트(B)에 있어서 포드(10)가 캡(10a)을 폐쇄한 채로 대기하고 있으면, 상단 포트(A)의 장전 단계(S4)의 실행에 있어서 하단 포트(B)의 포드(10)에 이물질이 침입하는 것을 방지할 수 있다.
도 8에 도시된 바와 같이, 상단 포트(A)에 있어서의 장전 단계(S4-1)가 종료하면, 하단 포트(B)에 있어서는 포드(10)의 캡(10a)이 벗겨지는 실 포드 개방 단계(S2)가 실행된다. 계속해서, 하단 포트(B)의 포드(10)는 웨이퍼 탑재 이송 장치(15)에 의해서 웨이퍼(9)를 보트(8)에 장전하는 장전 단계(S4-2)를 실행한다.
거꾸로, 도 8에 도시된 바와 같이, 상단 포트(A)에 있어서 장전 단계(S4-1)가 종료하면, 빈 포드 폐쇄 단계(S5)가 실행된다. 캡(10a)에 의해서 웨이퍼 출입구가 폐쇄된 상단 포트(A)의 빈 포드(10)는 도 8의 빈 포드 반출 단계(S6)에 있어서, 포드 선반(12)에 포드 반송 장치(14)에 의해서 반송되어 일시적으로 되돌려진다.
빈 포드(10)가 상단 포트(A)로부터 반출되면, 도 8에 도시된 바와 같이, 다음의 실 포드(10)가 상단 포트(A)로 반입되는 실 포드 반입 단계(S1)가 실행된다. 이 상단 포트(A)에 반입된 포드(10)는 대기 단계(St)에서 그대로 대기된다. 이와 같이 상단 포트(A)에 있어서 포드(10)가 캡(10a)을 폐쇄한 채로 대기하고 있으면, 하단 포트(B)의 장전 단계(S4-2)의 실행에 있어서 상단 포트(A)의 포드(10)에 이물질이 침입하는 것을 방지할 수 있다.
도 8에 도시된 바와 같이, 상단 포트(A)에 있어서의 빈 포드 폐쇄 단계(S5) 내지 대기 단계(St)의 실행 중에 하단 포트(B)에 있어서는 장전 단계(S4-2)가 실행된다. 하단 포트(B)에 있어서 장전 단계(S4-2)가 종료하면, 빈 포드 폐쇄 단계(S5)가 실행된다. 계속해서, 빈 포드(10)는 빈 포드 반출 단계(S6)에 있어서 포드 선반(12)에 포드 반송 장치(14)에 의해서 반송되어 일시적으로 되돌려진다. 빈 포드(10)가 하단 포트(B)로부터 반출되면, 다음에 장전되는 포드(10)가 하단 포트(B)로 반입되는 실 포드 반입 단계(S1)가 실행된다.
이상과 같이 하여 상단 포트(A)와 하단 포트(B)에 대한 웨이퍼 탑재 이송 장치(15)에 의한 장전 단계(S4-1, S4-2, S4-3, S4-4)가 교대로 반복됨으로써, 복수 매의 웨이퍼(9)가 포드(10)로부터 보트(8)로 장전되어 간다. 예컨대, 1회의 배치 처리의 웨이퍼 매수가 100장인 경우에는 전술한 단계(S1) 내지 단계(S6) 및 대기 단계(St)가 상단 포트(A)와 하단 포트(B)에 있어서 2회씩 반복되게 된다.
그리고 사전에 지정된 복수 매(예컨대, 100매)의 웨이퍼(9)가 포드(10)로부터 보트(8)로 탑재 이송되면, 도 8에 도시된 바와 같이, 성막 단계(Sp)가 상기 실시예와 동일하게 실행된다.
이상의 성막 단계(Sp)의 실시 중에 상단 포트(A) 및/또는 하단 포트(B)에 있어서는 처리 완료 웨이퍼 회수 준비 작업이 동시에 진행되고 있다. 예컨대, 도 8에 도시된 바와 같이, 성막 단계(Sp)중에 상단 포트(A)로 빈 포드 반입 단계(7)에 있어서 빈 포드(10)가 반입되고, 이어서, 빈 포드 개방 단계(S8)에 의해서 빈 포드(10)의 캡(10a)이 벗겨진다.
이어서, 상단 포트(A)의 인출 단계(S9-1)에 있어서, 장전 스테이션으로 반출된 포트(8)의 처리 완료 웨이퍼(9)는 웨이퍼 탑재 이송 장치(15)에 의해서 인출되고, 상단 포트(A)에 사전에 반입되어 캡(10a)을 벗기고 개방된 빈 포드(10)에 수용(웨이퍼 언로딩)된다.
상단 포트(A)로의 빈 포드(10)로의 소정의 매수의 웨이퍼(9)의 수용이 종료하면, 도 8에 도시된 바와 같이, 처리 완료 포드 폐쇄 단계(S10)가 상기 제 1 실시예의 경우와 동일하게 하여 실행된다. 이어서, 처리 완료 실 포드 반출 단계(S11) 에 있어서, 처리 완료 웨이퍼(9)가 수납된 처리 완료 실 포드(10)는 포드 선반(12)으로 포드 반송 장치(14)에 의해서 반송되어 되돌려진다.
이상의 상단 포트(A)에 있어서의 인출 단계(S9-1)의 실행 중에, 도 8에 도시된 바와 같이, 하단 포트(B)에 있어서는 빈 포드 반입 단계(S7)가 상단 포트(A)의 경우와 동일하게 하여 실행된다. 하단 포트(B)에 있어서 빈 포드 반입 단계(S7)가 종료한 후에 상단 포트(A)에 있어서 인출 단계(S9-1)가 계속중인 경우에는 하단 포트(B)에 있어서는 대기 단계(St)가 적절하게 실행되게 된다.
이상과 같이 상단 포트(A) 또는 하단 포트(B)의 장전 단계(S4) 및 인출 단계(S9)의 실행 중에, 하단 포트(B) 또는 상단 포트(A)에 있어서 실 포드 반입 단계(S1), 빈 포드 반출 단계(S6) 및 처리 완료 실 포드 반출 단계(S11), 빈 포드 반입 단계(S7) 등을 실행함으로써, 상단 포트(A) 또는 하단 포트(B)에 있어서의 웨이퍼(9)의 장전 작업 또는 인출 작업의 종료와 동시에, 하단 포트(B) 또는 상단 포트(A)에 대기시킨 포드(10)의 캡(10a)을 벗기고, 웨이퍼(9)의 웨이퍼 탑재 이송 장치(15)에 의한 장전 작업 또는 인출 작업을 개시할 수 있다. 즉, 웨이퍼 탑재 이송 장치(15)는 포드(10)의 교환 작업에 대한 대기 시간을 낭비하지 않고 웨이퍼 탑재 이송(웨이퍼 로딩 및 웨이퍼 언로딩) 작업을 연속하여 실시할 수 있기 때문에, 반도체 제조 장치(1)의 시스템 효율을 높일 수 있다.
또한, 신구 포드(10)의 포드 스테이지(11)로의 반입 반출작업 및 포드 스테이지(11)와 포드 선반(12) 사이의 교체 작업은 성막 단계(Sp)의 실행 중에 동시 진행되기 때문에, 반도체 제조 장치(1)의 전체로서의 작업 시간이 연장되는 것을 방 지할 수 있다.
도 9는 본 발명의 제 3 실시예인 웨이퍼 장전 인출 방법을 도시하는 순차도이다.
본 실시예가 상기 제 2 실시예와 다른 점은 상단 포트(A) 또는 하단 포트(B)의 한쪽에 있어서의 장전 단계(S4) 및 인출 단계(S9)의 종료 직전에 하단 포트(B) 또는 상단 포트(A)의 다른 쪽에 있어서 포드 개방 단계(S2 및 S8)를 실행하도록 설정되어 있는 점이다.
도 10은 본 발명의 제 4 실시예인 웨이퍼 장전 인출 방법을 도시하는 순차도이다.
본 실시예가 상기 제 2 실시예와 다른 점은 상단 포트(A) 또는 하단 포트(B)의 한쪽에 있어서의 장전 단계(S4) 및 인출 단계(S9)의 실시 중에 하단 포트(B) 또는 상단 포트(A)의 다른 쪽에 있어서 포드 반입 단계 및 포드 개방 단계를 실행하고, 포드(10)의 캡(10a)을 벗긴 상태로 대기[즉 대기 단계(St)를 실행]하도록 설정되어 있는 점이다.
또한, 본 발명은 상기 실시예에 한정되는 것이 아니라, 그 요지를 벗어나지 않는 범위에서 다양하게 변경이 가능한 것은 말할 필요도 없다.
예컨대, 웨이퍼 로딩 포트는 상하 2단 설치하는 것에 한하지 않고, 상중하와 같이 3단 이상 설치하더라도 무방하다.
맵핑 장치를 포드에 대하여 전진 후퇴시키는 구조로서는 로터리 액츄에이터를 사용한 구성을 채용하는 것에 한하지 않고, XY축 로봇 등을 사용한 구성을 채용 하더라도 무방하다. 또한, 맵핑 장치는 생략하더라도 무방하다.
기판은 웨이퍼에 한하지 않고, 포토 마스크나 프린트 배선 기판, 액정 패널, 컴팩트 디스크 및 자기 디스크 등이어도 무방하다.
반도체 제조 장치는 성막 처리에 사용하는 CVD 장치에 한하지 않고, 산화막 형성 처리나 확산 처리 등의 열 처리에도 사용할 수 있다.
상기 실시예에서는 배치식 종형 확산·CVD 장치의 경우에 대하여 설명했지만, 본 발명은 이것에 한하지 않고, 반도체 제조 장치 전반에 적용할 수 있다.
이상 설명한 바와 같이, 본 발명에 의하면, 반도체 제조 장치의 리드 타임(lead time)을 단축하여 시스템 효율을 높일 수 있다.

Claims (22)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 도어가 구비되어 있으며 기판을 수납하기 위한 포드를 그 위에 탑재시키기 위한 적어도 2개의 포드 지지 스테이지,
    상기 포드 지지 스테이지에 위치된 포드 내의 기판으로의 접근을 허용하기 위한 적어도 2개의 포드 도어 개구 기구를 포함하고,
    상기 포드 도어 개구 기구는 포드로부터 이에 대응하는 도어를 수평으로 제거하여, 그 내부에 배치된 기판을 언로딩시키는
    기판 처리 장치.
  12. 제 11 항에 있어서,
    상기 적어도 2개의 포드 지지 스테이지는 수직 방향으로 배치되는
    기판 처리 장치.
  13. 제 11 항에 있어서,
    상기 포드 지지 스테이지의 각각은 포드 내의 기판의 위치를 검출하기 위한 맵핑 장치를 갖는
    기판 처리 장치.
  14. 제 11 항에 있어서,
    상기 포드 지지 스테이지중 하나에 위치한 포드로 기판을 로딩하거나 또는 이 포드로부터 기판을 언로딩하기 위한 기판 핸들링 장치 및 상기 기판 핸들링 장치가 상기 포드 지지 스테이지중 하나에 위치한 포드로 기판을 로딩하거나 또는 이 포드로부터 기판을 언로딩하는 동안, 또 하나의 포드를 상기 포드 지지 스테이지중 다른 하나에 위치한 포드를 이동시키기 위한 포드 이송 장치를 더 포함하는
    기판 처리 장치.
  15. 제 14 항에 있어서,
    상기 맵핑 장치는 맵핑 프로세스가 실행되는 맵핑 위치와 포드의 입구로부터 이격된 대기 위치 사이에서 이동하여, 기판의 로딩 또는 언로딩 프로세스가 상기 포드의 입구를 통해 실행되도록 허용하는
    기판 처리 장치.
  16. 제 11 항에 있어서,
    각 포드 도어 개구 기구는 포드 도어가 닫히는 위치와 포드가 완전히 개구되는 후퇴 위치 사이에서 포드의 도어를 이동시키는
    기판 처리 장치.
  17. 도어를 갖는 포드 내에 배치된 기판으로의 접근을 허용하기 위한 적어도 하나의 포드 도어 개구 기구를 포함하고,
    상기 포드 도어 개구 기구는 상기 포드의 도어가 닫혀있는 위치로부터 포드가 완전히 개구되는 후퇴 위치로 상기 포드의 도어를 수평으로 이동시켜, 기판을 포드로부터 언로딩시키는
    기판 처리 장치.
  18. 개구를 가지며 기판을 수납하기 위한 포드를 그 위에 탑재시키기 위한 포드 지지 스테이지,
    상기 포드의 개구를 통해 상기 포드 지지 스테이지 상의 포드 내의 기판의 위치를 검출하기 위해 상기 포드 지지 스테이지에 배치된 맵핑 장치 및
    상기 포드의 개구를 통해 상기 포드 지지 스테이지 상의 포드로부터 기판을 언로딩시키기 위한 기판 핸들링 장치를 포함하고,
    상기 맵핑 장치는 맵핑 프로세스가 실행되는 맵핑 위치와 상기 포드의 개구로부터 이격된 대기 위치 사이에서 피봇 운동하여, 기판 언로딩 프로세스가 상기 개구를 통해 실행되도록 하는
    기판 처리 장치.
  19. 도어가 마련되어 있으며 기판을 수납하기 위한 포드를 탑재시키기 위한 적어도 2개의 포드 지지 스테이지를 갖는 기판 처리 장치용 기판 처리 방법에 있어서,
    (a) 제 1 포드를 하나의 포드 지지 스테이지 상에 위치시키는 단계,
    (b) 상기 제 1 포드의 도어를 대략 수평방향으로 개구시키는 단계,
    (c) 상기 제 1 포드로 기판을 로딩시키거나 또는 상기 제 1 포드로부터 기판을 언로딩시키는 단계 및
    (d) 상기 로딩 또는 언로딩 단계(c) 동안, 제 2 포드를 다른 하나의 포드 지지 스테이지 상에 위치시키는 단계를 포함하는
    기판 처리 방법.
  20. 제 11 항에 있어서,
    상기 포드 도어 개구 기구는 각각의 포드 지지 스테이지에 따로 설치되어 있는
    기판 처리 장치.
  21. 제 20 항에 있어서,
    상기 포드 도어 개구 기구는,
    상기 포드 지지 스테이지중의 하나에 있어서, 상기 포드 도어 개구 기구중의 하나에 의해 도어를 대략 수평방향으로 개구한 하나의 포드에 기판을 로딩하거나 또는 이 포드로부터 기판을 언로딩시키는 동안에, 상기 포드 지지 스테이지중의 다른 하나에 있어서, 다른 하나의 포드의 도어를 대략 수평방향으로 개구시키도록 구성되어 있는
    기판 처리 장치.
  22. 제 19 항에 있어서, 단계(d)는 단계(c)동안에, 제 2 포드를 다른 하나의 포드 지지 스테이지상에 위치시키고, 제 2 포드의 도어를 대략 수평방향으로 개구시키는 단계를 포함하는
    기판 처리 방법.
KR1020010020422A 2000-04-17 2001-04-17 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법 KR100639765B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000-114818 2000-04-17
JP2000114818 2000-04-17

Publications (2)

Publication Number Publication Date
KR20010098658A KR20010098658A (ko) 2001-11-08
KR100639765B1 true KR100639765B1 (ko) 2006-10-31

Family

ID=18626558

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010020422A KR100639765B1 (ko) 2000-04-17 2001-04-17 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법

Country Status (4)

Country Link
US (2) US6641350B2 (ko)
JP (5) JP4583461B2 (ko)
KR (1) KR100639765B1 (ko)
TW (1) TWI222108B (ko)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
AU2001273666A1 (en) * 2000-07-07 2002-01-21 Applied Materials, Inc. Automatic door opener
JP3581310B2 (ja) * 2000-08-31 2004-10-27 Tdk株式会社 防塵機能を備えた半導体ウェーハ処理装置
JP4342745B2 (ja) * 2000-09-27 2009-10-14 株式会社日立国際電気 基板処理方法および半導体装置の製造方法
US7021882B2 (en) 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener
JP3699348B2 (ja) * 2000-11-30 2005-09-28 平田機工株式会社 駆動部隔離foupオープナ
JP3697478B2 (ja) * 2001-08-20 2005-09-21 ソニー株式会社 基板の移送方法及びロードポート装置並びに基板移送システム
US6926489B2 (en) * 2002-05-09 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Latch sensor for pod transport gripper
AU2003249030A1 (en) * 2002-07-15 2004-02-02 Aviza Technology, Inc. Servomotor control system and method in a semiconductor manufacturing environment
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
EP1535313B1 (en) * 2002-07-22 2018-10-31 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7537425B2 (en) * 2002-12-30 2009-05-26 Tdk Corporation Wafer processing apparatus having dust proof function
US7264331B2 (en) * 2003-10-29 2007-09-04 Konica Minolta Medical & Graphic, Inc. Ink jet recording apparatus
US7024275B2 (en) * 2003-11-05 2006-04-04 Taiwan Semiconductor Manufacturing Company Control method and system for an automated material handling system
US7720557B2 (en) 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7218983B2 (en) 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
TWI316044B (en) 2004-02-28 2009-10-21 Applied Materials Inc Methods and apparatus for material control system interface
US7413069B2 (en) * 2004-02-28 2008-08-19 Applied Materials, Inc. Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
US7274971B2 (en) 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
EP1803151B1 (en) * 2004-08-23 2011-10-05 Murata Machinery, Ltd. Elevator-based tool loading and buffering system
FR2874744B1 (fr) * 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
US7887280B2 (en) * 2006-05-11 2011-02-15 Tokyo Electron Limited Processing apparatus
TWI452643B (zh) * 2006-05-11 2014-09-11 Tokyo Electron Ltd Inspection device and inspection method
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP4215079B2 (ja) * 2006-07-31 2009-01-28 村田機械株式会社 クリーンストッカと物品の保管方法
WO2008035324A2 (en) * 2006-09-19 2008-03-27 Coreflow Scientific Solutions Ltd Apparatus for fluid treatment
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2009135232A (ja) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd ロードポート
KR101077566B1 (ko) * 2008-08-20 2011-10-28 세메스 주식회사 기판 처리장치 및 이의 기판 이송 방법
US9214372B2 (en) 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
US8919756B2 (en) * 2008-08-28 2014-12-30 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device, and coating device
JP5227701B2 (ja) * 2008-08-28 2013-07-03 東京応化工業株式会社 基板処理システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US20130055954A1 (en) * 2010-05-07 2013-03-07 Jeong-Ho Yoo Integrated semiconductor-processing apparatus
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5980551B2 (ja) * 2011-07-13 2016-08-31 株式会社日立国際電気 温度検出部、基板処理装置、及び半導体装置の製造方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140089517A (ko) * 2011-11-09 2014-07-15 신포니아 테크놀로지 가부시끼가이샤 로드 포트, efem
JP2013143425A (ja) * 2012-01-10 2013-07-22 Tokyo Electron Ltd 基板処理システム及び基板位置矯正方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6819565B2 (ja) * 2017-12-21 2021-01-27 株式会社ダイフク 物品収納設備
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7300817B2 (ja) 2018-09-21 2023-06-30 株式会社Screenホールディングス 基板処理装置および基板処理装置の制御方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11842913B2 (en) * 2021-09-24 2023-12-12 Applied Materials, Inc. Seal mechanisms for load ports

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980080191A (ko) * 1997-03-13 1998-11-25 히가시 데츠로 카셋트 반출입 기구 및 반도체 제조 장치
JPH11214483A (ja) * 1998-01-21 1999-08-06 Hitachi Techno Eng Co Ltd カセット内ウエフア検出装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2868645B2 (ja) * 1991-04-19 1999-03-10 東京エレクトロン株式会社 ウエハ搬送装置、ウエハの傾き検出方法、およびウエハの検出方法
US5319216A (en) * 1991-07-26 1994-06-07 Tokyo Electron Limited Substrate detector with light emitting and receiving elements arranged in staggered fashion and a polarization filter
JP2725496B2 (ja) * 1991-09-30 1998-03-11 三菱電機株式会社 移替装置
JP3177035B2 (ja) * 1992-11-26 2001-06-18 東京エレクトロン株式会社 縦型熱処理装置
JP3176160B2 (ja) * 1993-01-21 2001-06-11 東京エレクトロン株式会社 処理装置
US5308993A (en) * 1993-03-28 1994-05-03 Avalon Engineering, Inc. Semiconductor wafer cassette mapper having dual vertical column of light emitting apertures and a single vertical column of light receiving apertures
EP0735573B1 (de) 1995-03-28 2004-09-08 BROOKS Automation GmbH Be- und Entladestation für Halbleiterbearbeitungsanlagen
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JP3283798B2 (ja) 1996-08-29 2002-05-20 東京エレクトロン株式会社 処理装置
JP3625617B2 (ja) * 1997-06-10 2005-03-02 東京エレクトロン株式会社 基板処理装置、カセット内の基板検出装置
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JPH1131729A (ja) 1997-07-14 1999-02-02 Dainippon Screen Mfg Co Ltd 基板収納容器供給装置
JPH11204617A (ja) 1998-01-13 1999-07-30 Dainippon Screen Mfg Co Ltd 基板検出装置および基板搬入搬出装置
US6050891A (en) * 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JP3954714B2 (ja) 1998-02-27 2007-08-08 大日本スクリーン製造株式会社 基板搬送装置
JP3974992B2 (ja) * 1998-02-27 2007-09-12 大日本スクリーン製造株式会社 基板収納容器の蓋開閉装置および基板搬入搬出装置
JP3656701B2 (ja) * 1998-03-23 2005-06-08 東京エレクトロン株式会社 処理装置
JP3658188B2 (ja) 1998-06-02 2005-06-08 大日本スクリーン製造株式会社 基板処理装置
JPH11354602A (ja) 1998-06-03 1999-12-24 Mecs Corp ポッドオープナーの蓋ラッチ装置
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
JP2000012670A (ja) 1998-06-19 2000-01-14 Nikon Corp 基板カセット
JP3664897B2 (ja) * 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
JP2987148B1 (ja) * 1999-01-26 1999-12-06 国際電気株式会社 基板処理装置
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
JP4578615B2 (ja) * 1999-07-21 2010-11-10 東京エレクトロン株式会社 熱処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980080191A (ko) * 1997-03-13 1998-11-25 히가시 데츠로 카셋트 반출입 기구 및 반도체 제조 장치
JPH11214483A (ja) * 1998-01-21 1999-08-06 Hitachi Techno Eng Co Ltd カセット内ウエフア検出装置

Also Published As

Publication number Publication date
JP4583461B2 (ja) 2010-11-17
JP4581031B2 (ja) 2010-11-17
JP5237336B2 (ja) 2013-07-17
TWI222108B (en) 2004-10-11
JP2010161389A (ja) 2010-07-22
JP5518132B2 (ja) 2014-06-11
JP4581032B2 (ja) 2010-11-17
KR20010098658A (ko) 2001-11-08
USRE43023E1 (en) 2011-12-13
JP2008182255A (ja) 2008-08-07
JP2010147499A (ja) 2010-07-01
US20010038783A1 (en) 2001-11-08
JP2012199584A (ja) 2012-10-18
JP2011040743A (ja) 2011-02-24
US6641350B2 (en) 2003-11-04

Similar Documents

Publication Publication Date Title
KR100639765B1 (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
US5609459A (en) Door drive mechanisms for substrate carrier and load lock
US5664925A (en) Batchloader for load lock
US5607276A (en) Batchloader for substrate carrier on load lock
US5613821A (en) Cluster tool batchloader of substrate carrier
JP4977228B2 (ja) 基板装填脱装方法および半導体装置の製造方法
US6120229A (en) Substrate carrier as batchloader
US20050111935A1 (en) Apparatus and method for improved wafer transport ambient
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
KR100532584B1 (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
JP2006086308A (ja) 半導体製造装置
JP4255222B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
EP0886617A1 (en) Door drive mechanisms for substrate carrier and load lock
JP2005079250A (ja) 基板処理装置
JP4155722B2 (ja) 基板処理装置、ポッド開閉装置、基板処理方法、半導体装置の製造方法および基板搬送方法
JP4790326B2 (ja) 処理システム及び処理方法
JP2002261150A (ja) 基板処理装置
JP4728383B2 (ja) 基板処理装置および半導体装置の製造方法
JP5313639B2 (ja) 基板搬送方法、基板処理方法および半導体装置の製造方法
JP2003086653A (ja) 基板処理装置
JP2006261502A (ja) 基板処理装置
KR20010002584A (ko) 오염 입자 제거 기능을 갖는 스탠더드 메커니컬 인터페이스 시스템
JP2005276941A (ja) 基板処理装置
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20181004

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20191002

Year of fee payment: 14