JP2010147499A - 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法 - Google Patents

基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法 Download PDF

Info

Publication number
JP2010147499A
JP2010147499A JP2010034530A JP2010034530A JP2010147499A JP 2010147499 A JP2010147499 A JP 2010147499A JP 2010034530 A JP2010034530 A JP 2010034530A JP 2010034530 A JP2010034530 A JP 2010034530A JP 2010147499 A JP2010147499 A JP 2010147499A
Authority
JP
Japan
Prior art keywords
pod
cap
wafer
opening
port
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010034530A
Other languages
English (en)
Other versions
JP4581032B2 (ja
Inventor
Takayoshi Nakajima
考宜 中島
Tatsuhisa Matsunaga
建久 松永
Hidehiro Yanagawa
秀宏 柳川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=18626558&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2010147499(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2010034530A priority Critical patent/JP4581032B2/ja
Publication of JP2010147499A publication Critical patent/JP2010147499A/ja
Application granted granted Critical
Publication of JP4581032B2 publication Critical patent/JP4581032B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】リードタイムを短縮し、スループットを高める基板処理装置を提供する。
【解決手段】基板処理装置において、垂直方向に複数段設置されたウエハローディングポート13と、ウエハローディングポートに載置されたポッド10のキャップを開閉する際にキャップを水平方向に個別に移動させる、ウエハローディングポートそれぞれに設けられたポッドオープナ20と、を有し、夫々のポッドオープナ20がキャップの垂直方向の幅よりも小さい間隔となるように配置されている。
【選択図】図1

Description

本発明は、基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法に関する。
特に、ポッドを開閉する技術に係り、例えば、半導体素子を含む半導体集積回路を作り込まれる基板としての半導体ウエハ(以下、ウエハという。)に絶縁膜や金属膜等のCVD膜を形成したり不純物を拡散したりするバッチ式縦形拡散・CVD装置およびこれを使用して成膜したり不純物を拡散したりする基板処理方法並びに半導体装置を製造する方法に利用して有効なものに関する。
基板処理装置の一例であるバッチ式縦形拡散・CVD装置(以下、半導体製造装置という。)においては、未処理のウエハがキャリア(ウエハ収納容器)に収納された状態で半導体製造装置の外部から搬入される。
従来のこの種のキャリアとして、互いに対向する一対の面が開口された略立方体の箱形状に形成されているカセットと、一つの面が開口された略立方体の箱形状に形成され開口面にキャップが着脱自在に装着されているFOUP(front opening unified pod 。以下、ポッドという。)とがある。
ウエハのキャリアとしてポッドが使用される場合には、ウエハが密閉された状態で搬送されることになるため、周囲の雰囲気にパーティクル等が存在していたとしてもウエハの清浄度は維持することができる。したがって、半導体製造装置が設置されるクリーンルーム内の清浄度をあまり高く設定する必要がなくなるため、クリーンルームに要するコストを低減することができる。
そこで、最近の半導体製造装置においてはウエハのキャリアとしてポッドが使用されて来ている。
ウエハのキャリアとしてポッドを使用した半導体製造装置においては、キャップを開閉するに際して筐体内およびポッド内のウエハの清浄度を維持しつつウエハをポッドに対して出し入れ可能とするポッド開閉装置(以下、ポッドオープナという。)が、設置されている。
従来のこの種のポッドオープナとして、特許文献1に開示されているものがある。すなわち、このポッドオープナはウエハローディングポートに設置されており、ウエハローディングポートに載置されたポッドのキャップを摩擦係合によって固定するクロージャを備えており、クロージャがキャップを固定した状態で下降することによりポッドを開放するように構成されている。
特開平8−279546号公報
しかしながら、従来の半導体製造装置においては、ウエハローディングポートが一つだけしか設定されていないことにより、ウエハの移載時間にポッドの入替え時間が算入されることになるため、半導体製造装置全体としての処理時間が長くなり、半導体製造装置のスループットが低下するという問題点がある。
本発明の目的は、スループットを高めることができる基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法を提供することにある。
前記した課題を解決するための手段のうち代表的なものは、次の通りである。
複数枚の基板を収納し開閉自在なキャップを有するポッドに対して前記基板を出し入れする、垂直方向に複数段設置された基板ローディングポートと、前記基板ローディングポートに載置された前記ポッドの前記キャップを開閉する際に前記キャップを水平方向に個別に移動させる前記基板ローディングポートそれぞれに設けられた開閉装置と、を有し、夫々の前記開閉装置が、前記キャップの垂直方向の幅よりも小さい間隔となるように配置されている基板処理装置を特徴とする。
前記した手段によれば、スループットを高めることができる。
本発明の一実施の形態である半導体製造装置を示す概略斜視図である。 ポッドオープナを示す正面側から見た斜視図である。 そのポッド載置状態を示す斜視図である。 ポッドオープナを示す背面側から見た一部省略斜視図である。 図4の省略したV部を示す斜視図である。 マッピング装置を示す各平面断面図であり、(a)は待機中を示し、(b)は作動中を示している。 本発明の第一の実施の形態であるウエハ装填脱装方法を示すシーケンス図である。 本発明の第二の実施の形態であるウエハ装填脱装方法を示すシーケンス図である。 本発明の第三の実施の形態であるウエハ装填脱装方法を示すシーケンス図である。 本発明の第四の実施の形態であるウエハ装填脱装方法を示すシーケンス図である。
以下、本発明の一実施の形態を図面に即して説明する。
本実施の形態において、本発明に係る基板の搬送方法、基板処理方法および半導体装置の製造方法は、図1に示されているように半導体製造装置すなわちバッチ式縦形拡散・CVD装置に使用される。
図1に示されている半導体製造装置1は気密室構造に構築された筐体2を備えている。筐体2内の一端部(以下、後端部とする。)の上部にはヒータユニット3が垂直方向に据え付けられており、ヒータユニット3の内部にはプロセスチューブ4が同心に配置されている。
プロセスチューブ4にはプロセスチューブ4内に原料ガスやパージガス等を導入するためのガス導入管5と、プロセスチューブ4内を真空排気するための排気管6とが接続されている。
筐体2の後端部の下部にはエレベータ7が設置されており、エレベータ7はプロセスチューブ4の真下に配置されたボート8を垂直方向に昇降させるように構成されている。
ボート8は多数枚のウエハ9を中心を揃えて水平に配置した状態で支持して、プロセスチューブ4の処理室に対して搬入搬出するように構成されている。
筐体2の正面壁にはポッド出し入れ口(図示せず)が開設されており、ポッド出し入れ口はフロントシャッタによって開閉されるようになっている。ポッド出し入れ口にはポッド10の位置合わせを実行するポッドステージ11が設置されており、ポッド10はポッド出し入れ口を通してポッドステージ11に出し入れされるようになっている。
筐体2内の前後方向の中央部の上部には回転式のポッド棚12が設置されており、回転式のポッド棚12は合計八個のポッド10を保管するように構成されている。すなわち、回転式のポッド棚12は略卍形状に形成された棚板が上下二段に配置されて水平面内で回転自在に支承されており、モータ等の間欠回転駆動装置(図示せず)によってピッチ送り的に一方向に回転されるようになっている。
筐体2内のポッド棚12の下側には基板としてのウエハ9を払い出す(ローディングする)ためのウエハローディングポート13が一対、垂直方向に上下二段に配置されて設置されており、両ウエハローディングポート13、13には後記するポッドオープナ20がそれぞれ設置されている。
なお、便宜上、図1においてはポッド棚は合計八個のポッドを保管するように図示されているが、最大十六個のポッドを保管することができる。
筐体2内のポッドステージ11とポッド棚12およびウエハローディングポート13との間にはポッド搬送装置14が設置されており、ポッド搬送装置14はポッドステージ11とポッド棚12およびウエハローディングポート13との間およびポッド棚12とウエハローディングポート13との間でポッド10を搬送するように構成されている。
また、ウエハローディングポート13とボート8との間にはウエハ移載装置15が設置されており、ウエハ移載装置15はウエハローディングポート13とボート8との間でウエハ9を搬送するように構成されている。
上下のウエハローディングポート13、13に設置されたポッドオープナ20、20は同一に構成されているため、ポッドオープナ20の構成については上段のウエハローディングポート13に設置されたものについて説明する。
図1に示されているように、ポッドオープナ20は筐体2内においてウエハローディングポート13とウエハ移載装置15とを仕切るように垂直に立脚された側壁をなすベース21を備えており、図2および図3に示されているように、ベース21にはポッド10のキャップ10aと若干大きめに相似する四角形に形成されたウエハ出入口22が開設されている。
なお、ベース21は上下のポッドオープナ20、20で共用されているため、ベース21には上下で一対のウエハ出入口22、22が垂直方向で縦に並ぶように開設されている。
図2に示されているように、ベース21のウエハローディングポート13側の主面(以下、正面とする。)におけるウエハ出入口22の下側にはアングル形状の支持台23が水平に固定されており、支持台23の平面視の形状は一部が切り欠かれた略正方形の枠形状に形成されている。
支持台23の上面には一対のガイドレール24、24がベース21の正面と平行方向(以下、左右方向とする。)に配置されて、ベース21の正面と直角方向(以下、前後方向とする。)に延在するように敷設されており、左右のガイドレール24、24には載置台27が複数個のガイドブロック25を介して前後方向に摺動自在に支承されている。載置台27は支持台23の上面に据え付けられたエアシリンダ装置26によって前後方向に往復移動されるようになっている。
図2に示されているように、載置台27は一部が切り欠かれた略正方形の枠形状に形成されており、載置台27の上面には位置決めピン28が三本、正三角形の頂点に配置されて垂直に突設されている。三本の位置決めピン28はポッド10が図3に示されているように載置台27の上に載置された状態において、ポッド10の下面に没設された三箇所の位置決め凹部(図示せず)に嵌入するようになっている。
図4に示されているように、ベース21のウエハ移載装置15側の主面(以下、背面とする。)におけるウエハ出入口22の下側には、ガイドレール30が左右方向に水平に敷設されており、ガイドレール30にはアングル形状に形成された左右方向移動台31が左右方向に往復移動し得るように摺動自在に支承されている。
左右方向移動台31の垂直部材にはエアシリンダ装置32が左右方向に水平に据え付けられており、エアシリンダ装置32のピストンロッド32aの先端はベース21に固定されている。すなわち、左右方向移動台31はエアシリンダ装置32の往復作動によって左右方向に往復駆動されるようになっている。
図5に示されているように、左右方向移動台31の水平部材の上面には一対のガイドレール33、33が左右に配されて前後方向に延在するように敷設されており、両ガイドレール33、33には前後方向移動台34が前後方向に往復移動し得るように摺動自在に支承されている。前後方向移動台34の片側端部にはガイド孔35が左右方向に延在するように開設されている。
左右方向移動台31の一側面にはブラケット36が固定されており、ブラケット36にはロータリーアクチュエータ37が垂直方向上向きに据え付けられている。ロータリーアクチュエータ37のアーム37aの先端に垂直に立脚されたガイドピン38は前後方向移動台34のガイド孔35に摺動自在に嵌入されている。すなわち、前後方向移動台34はロータリーアクチュエータ37の往復回動によって前後方向に往復駆動されるように構成されている。
前後方向移動台34の上面にはブラケット39が垂直に立脚されており、ブラケット39の正面にはウエハ出入口22に若干大きめに相似する長方形の平盤形状に形成されたクロージャ40が垂直に固定されている。つまり、クロージャ40は前後方向移動台34によって前後方向に往復移動されるようになっているとともに、左右方向移動台31によって左右方向に往復移動されるようになっている。そして、クロージャ40は前進移動してそのベース側を向いた主面(以下、正面とする。)がベース21の背面に当接することに
よりウエハ出入口22を閉塞し得るようになっている。
なお、図5および図6に示されているように、ベース21の正面におけるウエハ出入口22の周りには、ポッド10の押し付け時にポッド10のウエハ出し入れ口およびベース21のウエハ出入口22をシールするパッキン54が敷設されている。
クロージャ40の正面における外周縁近傍には、クロージャ40の押し付け時にベース21のウエハ出入口22をシールするためのパッキン55が敷設されている。クロージャ40の正面における外周縁のパッキン55の内側には、キャップ10aに付着した異物がウエハ移載装置15の設置室側へ侵入するのを防止するためのパッキン56が敷設されている。
図4に示されているように、クロージャ40の上下方向の中心線上には、一対の解錠軸41、41が左右に配置されて前後方向に挿通されて回転自在に支承されている。両解錠軸41、41におけるクロージャ40のベースと反対側の主面(以下、背面とする。)側の端部には一対のプーリー42、42が固定されており、両プーリー42、42間には連結片44を有するベルト43が巻き掛けられている。クロージャ40の背面における一方のプーリー42の上側にはエアシリンダ装置45が水平に据え付けられており、エアシリンダ装置45のピストンロッドの先端はベルト43の連結片44に連結されている。すなわち、両解錠軸41、41はエアシリンダ装置45の伸縮作動によって往復回動されるようになっている。
図2に示されているように、両解錠軸41、41のクロージャ40の正面側の端部にはキャップ10aの錠前(図示せず)に係合する係合部41aが直交して突設されている。
図2に示されているように、クロージャ40の正面における一方の対角付近にはキャップ10aの表面に吸着する吸着具(吸盤)46が二個、吸込口部材47によってそれぞれ固定されている。吸着具46を固定する吸込口部材47は中空軸によって構成されており、吸込口部材47の背面側端は給排気路(図示せず)に接続されている。
吸込口部材47の正面側端の外径はキャップ10aに没設された位置決め穴(図示せず)に嵌入するように設定されている。すなわち、吸込口部材47はキャップ10aの位置決め穴に嵌入してキャップ10aを機械的に支持するための支持ピンを兼用するように構成されている。
図2、図4および図6に示されているように、ベース21の正面におけるウエハ出入口22の片脇にはロータリーアクチュエータ50が回転軸50aが垂直方向になるように据え付けられており、回転軸50aには略C字形状に形成されたアーム51の一端が水平面内で一体回動するように固定されている。
アーム51はベース21に開設された挿通孔52を挿通されており、アーム51のベース21の背面側の先端部にはマッピング装置53が固定されている。
次に、本発明の一実施の形態に係る半導体装置の製造方法の特徴工程であって、本発明の一実施の形態に係る基板の搬送方法および基板処理方法であるウエハのボートへの装填および脱装(チャージングおよびディスチャージング)方法を、前記構成に係る半導体製造装置を使用して実施する場合について図7に示されたシーケンスに沿って説明する。
なお、説明を理解し易くするため、以下の説明においては、一方のウエハローディングポート13を上段ポートAとし、他方のウエハローディングポート13を下段ポートBとする。
図7に示されたシーケンスが実施される前に、予め、図1に示されているように、筐体2内のポッドステージ11にポッド出し入れ口から搬入されたポッド10は、ポッド搬送装置14によって指定されたポッド棚12に適宜に搬送されて一時的に保管される。
ポッド棚12に予め保管されたポッド10はポッド搬送装置14によって適宜にピックアップされ、図7に示された実ポッド搬入ステップS1において、上段ポートAに搬送されて、ポッドオープナ20の載置台27に図3に示されているように移載される。
この際、ポッド10の下面に没設された位置決め凹部が載置台27の三本の位置決めピン28とそれぞれ嵌合されることにより、ポッド10と載置台27との位置合わせが実行される。
ポッド10が載置台27に載置されて位置合わせされると、載置台27がエアシリンダ装置26によってベース21の方向に押され、図6(a)に示されているように、ポッド10の開口側端面がベース21の正面におけるウエハ出入口22の開口縁辺部に押し付けられる。また、ポッド10がベース21の方向に押されると、クロージャ40の解錠軸41がキャップ10aの鍵穴に挿入される。
続いて、負圧がクロージャ40の吸込口部材47に給排気路から供給されることにより、ポッド10のキャップ10aが吸着具46によって真空吸着保持される。この状態で、解錠軸41がエアシリンダ装置45によって回動されると、解錠軸41はキャップ10a側の錠前に係合した係合部41aによってキャップ10aの錠前の施錠を解除する。
次いで、前後方向移動台34がロータリーアクチュエータ37の作動によってベース21から離れる方向に移動され、続いて、左右方向移動台31がエアシリンダ装置32の作動によってウエハ出入口22から離れる方向に移動されることにより、キャップ10aを吸着具46によって真空吸着保持したクロージャ40がベース21の背面における退避位置に移動される。このクロージャ40の移動により、キャップ10aがポッド10の開口部から外されるため、図6(b)に示されているように、ポッド10が開放される。
以上により、上段ポートAにおいては図7の実ポッド開けステップS2が実行されたことになる。
次に、図7に示されているように、上段ポートAにおいてはマッピングステップS3が実行される。すなわち、図6(b)に示されているように、マッピング装置53がロータリーアクチュエータ50の作動によって移動されて、ポッド10の開口に挿入される。ポッド10の開口に挿入されたマッピング装置53はポッド10に収納された複数枚のウエハ9を検出することによってマッピングする。ここで、マッピングとはポッド10の中のウエハ9の所在位置(ウエハ9がどのスリットにあるのか。)を確認することである。
指定されたマッピング作業が終了すると、マッピング装置53はロータリーアクチュエータ50の作動によって元の待機位置に戻される。
マッピング装置53が待機位置に戻ると、上段ポートAにおいて開けられたポッド10の複数枚のウエハ9はボート8にウエハ移載装置15によって順次装填(チャージング)されて行く。すなわち、図7のチャージングステップS4−1が実行される。
この上段ポートAにおけるウエハ移載装置15によるウエハ9の装填作業中(チャージングステップS4−1の実行中)に、図7に示されているように、下段ポートBにおいては実ポッド搬入ステップS1、実ポッド開けステップS2およびマッピングステップS3が実行される。すなわち、下段ポートBにはポッド棚12から別のポッド10がポッド搬送装置14によって搬送されて移載され、ポッドオープナ20による前述した位置決め作業からマッピング作業が同時進行される。
なお、下段ポートBにおいてマッピングステップS3が完了した後に上段ポートAにおいてチャージングステップS4−1が継続中の場合には、下段ポートBにおいては待機ステップStが適宜に実行されることになる。
このように下段ポートBにおいてマッピングステップS3迄が同時進行されていると、上段ポートAにおけるウエハ9の装填作業の終了と同時に、下段ポートBに待機させたポッド10についてのウエハ9のウエハ移載装置15による装填作業を開始することができる。すなわち、ウエハ移載装置15はポッド10の入替え作業についての待ち時間を浪費することなくウエハ移載(ウエハローディング)作業を連続して実施することができるため、半導体製造装置1のスループットを高めることができる。
翻って、図7に示されているように、上段ポートAにおいてチャージングステップS4−1が終了すると、空ポッド閉じステップS5が実行される。すなわち、クロージャ40に保持されて退避されていたキャップ10aがウエハ出入口22の位置に左右方向移動台31によって戻され、前後方向移動台34によってウエハ出入口22に挿入されてポッド10の開口部に嵌入される。キャップ10aがポッド10に嵌入されると、解錠軸41がエアシリンダ装置45によって回動され、キャップ10aの錠前を施錠する。
キャップ10aの施錠が終了すると、給排気路から吸込口部材47へ供給されていた負圧が切られて大気に開放されることにより、吸着具46の真空吸着保持が解除される。
続いて、載置台27がエアシリンダ装置26によってベース21から離れる方向に移動され、ポッド10の開口側端面がベース21の正面から離座される。
キャップ10aによりウエハ出入口が閉塞された上段ポートAの空のポッド10は、図7の空ポッド搬出ステップS6において、ポッド棚12にポッド搬送装置14によって搬送されて一時的に戻される。
空のポッド10が上段ポートAから搬出されると、図7に示されているように、次の実ポッド10が上段ポートAに搬入される実ポッド搬入ステップS1が実行される。
以降、上段ポートAにおいては前述した各ステップS2〜S6が必要回数繰り返される。但し、マッピングステップS3の後に必要に応じて待機ステップStが実行される。
以上の上段ポートAにおける空ポッド閉じステップS5〜待機ステップStの実行中に、図7に示されているように、下段ポートBにおいてはチャージングステップS4−2が前述した上段ポートAのそれと同様にして実行される。
下段ポートBにおいてチャージングステップS4−2が終了すると、図7に示されているように、空ポッド閉じステップS5が実行される。
続いて、下段ポートBの空のポッド10は空ポッド搬出ステップS6においてポッド棚12にポッド搬送装置14によって搬送されて一時的に戻される。空のポッド10が下段ポートBから搬出されると、図7に示されているように、次の実ポッド10が下段ポートBに搬入される実ポッド搬入ステップS1が実行される。
以降、下段ポートBにおいては前述した各ステップS2〜S6が必要回数繰り返される。
このように上段ポートAにおいてマッピングステップS3迄が同時進行されていると、下段ポートBにおけるウエハ9の装填作業の終了と同時に、上段ポートAに待機させたポッド10についてのウエハ9のウエハ移載装置15による装填(チャージング)作業を開始することができる。すなわち、ウエハ移載装置15はポッド10の入替え作業についての待ち時間を浪費することなくウエハ移載(ローディング)作業を連続して実施することができるため、半導体製造装置1のスループットを高めることができる。
以上のようにして上段ポートAと下段ポートBとに対するウエハ移載装置15によるチャージングステップS4−1、S4−2、S4−3、S4−4が交互に繰り返されることによって、複数枚のウエハ9がポッド10からボート8に装填されて行く。
この際、バッチ処理するウエハ9の枚数(例えば、百枚〜百五十枚)は一台のポッド10に収納されたウエハ9の枚数(例えば、二十五枚)よりも何倍も多いため、複数台のポッド10が上段ポートAと下段ポートBとにポッド搬送装置14によって交互に繰り返し供給されることになる。すなわち、前述したステップS1〜ステップS6が上段ポートAと下段ポートBとにおいて複数回繰り返される。
例えば、一回のバッチ処理のウエハ枚数が百枚の場合には、図7に示されているように、前述したステップS1〜ステップS6が上段ポートAと下段ポートBとにおいて二回宛繰り返される。
予め指定された複数枚(図7の場合は百枚)のウエハ9がポッド10からボート8に移載されると、図7に示されているように、ウエハローディングポート13にとっては実質的に待機ステップ〔以下、成膜待機ステップSt(Sp)という。〕となる成膜処理がプロセスチューブ4において実行される。すなわち、ボート8はエレベータ7によって上昇されてプロセスチューブ4の処理室に搬入される。ボート8が上限に達すると、ボート8を保持したキャップの上面の周辺部がプロセスチューブ4をシール状態に閉塞するため、
処理室は気密に閉じられた状態になる。
プロセスチューブ4の処理室が気密に閉じられた状態で、所定の真空度に排気管6によって真空排気され、ヒータユニット3によって所定の温度に加熱され、所定の原料ガスがガス導入管5によって所定の流量だけ供給される。これにより、所定の膜がウエハ9に形成される。
そして、予め設定された処理時間が経過すると、ボート8がエレベータ7によって下降されることにより、処理済みウエハ9を保持したボート8が元の装填および脱装ステーション(以下、装填ステーションという。)に搬出される。
以上の成膜待機ステップSt(Sp)の実行中に上段ポートAおよび/または下段ポートBにおいては処理済みウエハの回収準備作業が同時進行されている。例えば、図7に示されているように、空ポッド搬入ステップS7において、空のポッド10が上段ポートAに搬入され、空ポッド開けステップS8において、空のポッド10のキャップ10aが外される。
そして、図7に示されているように、上段ポートAのディスチャージングステップS9−1において、装填ステーションに搬出されたボート8の処理済みウエハ9はウエハ移載装置15によってディスチャージングされ、上段ポートAに予め搬入されてキャップ10aを外されて開放された空のポッド10に収容(アンローディング)される。
上段ポートAへの空のポッド10への所定の枚数のウエハ9の収容が終了すると、図7に示されているように、処理済みポッド閉じステップS10が実行される。すなわち、クロージャ40に保持されて退避されていたキャップ10aがウエハ出入口22の位置に左右方向移動台31によって戻され、前後方向移動台34によってウエハ出入口22に挿入されポッド10の開口部に嵌入される。キャップ10aがポッド10に嵌入されると、解錠軸41がエアシリンダ装置45によって回動され、キャップ10aの錠前を施錠する。
キャップ10aの施錠が終了すると、給排気路から吸込口部材47に供給されていた負圧が切られて大気に開放されることにより、吸着具46のキャップ10aの真空吸着保持が解除される。
続いて、載置台27がエアシリンダ装置26によってベース21から離れる方向に移動され、ポッド10の開口側端面がベース21の正面から離座される。
次いで、図7に示された処理済み実ポッド搬出ステップS11において、処理済みのウエハ9が収納された処理済み実ポッド10はポッド棚12にポッド搬送装置14によって搬送されて戻される。
以上の上段ポートAにおけるディスチャージングステップS9−1の実行中に、図7に示されているように、下段ポートBにおいては空ポッド搬入ステップS7および空ポッド開けステップS8が、上段ポートAの場合と同様にして実行される。
下段ポートBにおいて空ポッド開けステップS8が終了した後に上段ポートAにおいてディスチャージングステップS9−1が継続中の場合には、下段ポートBにおいては待機ステップStが適宜に実行されることになる。
このように上段ポートAのディスチャージングステップS9−1の実行中に、下段ポートBにおいて空ポッド開けステップS8迄が同時進行されていると、上段ポートAにおけるウエハ9の脱装(ディスチャージング)作業の終了と同時に、下段ポートBに待機させたポッド10についてのウエハ9のウエハ移載装置15によるディスチャージング作業を開始することができる。すなわち、ウエハ移載装置15はポッド10の入替え作業についての待ち時間を浪費することなくウエハ移載(ウエハアンローディング)作業を連続して
実施することができるため、半導体製造装置1のスループットを高めることができる。
以上の処理済みウエハ9のディスチャージング作業の際も、ボート8に装填してバッチ処理したウエハ9の枚数は一台の空のポッド10に収納するウエハ9の枚数よりも何倍も多いため、複数台のポッド10が上段ポートAと下段ポートBとに交互にポッド搬送装置14によって繰り返し供給されることになる。
この場合にも、上段ポートA(または下段ポートB)におけるディスチャージングステップS9−1の実行中に、下段ポートB(または上段ポートA)における空のポッド10の搬送やディスチャージング準備作業が同時進行されることにより、ウエハ移載装置15は空のポッド10の入替え作業についての待ち時間を浪費することなくディスチャージング作業を連続して実施することができるため、半導体製造装置1のスループットを高めることができる。
処理済みウエハ9を収納してポッド棚12に戻されたポッド10はポッド棚12からポッドステージ11へポッド搬送装置14によって搬送される。ポッドステージ11に移載されたポッド10はポッド出し入れ口から筐体2の外部に搬出されて、洗浄工程や成膜検査工程等の次工程へ搬送される。
そして、新規のウエハ9を収納したポッド10が筐体2内のポッドステージ11にポッド出し入れ口から搬入される。
なお、新旧ポッド10のポッドステージ11への搬入搬出(ポッドローディングおよびポッドアンローディング)作業およびポッドステージ11とポッド棚12との間の入替え作業は、プロセスチューブ4におけるボート8の搬入搬出(ボートローディングおよびボートアンローディング)作業や成膜処理の間すなわち成膜待機ステップSt(Sp)の実行中に同時進行されるため、半導体製造装置1の全体としての作業時間が延長されるのを防止することができる。
以降、以上説明したウエハ装填脱装方法および成膜方法が繰り返されて、CVD膜がウエハ9に半導体製造装置1によって形成され、半導体素子を含む集積回路がウエハ9に作り込まれる半導体装置の製造方法における成膜工程が実施されたことになる。
前記実施の形態によれば、次の効果が得られる。
1) 一対のウエハローディングポート13、13を上下に二段設置するとともに、両ウエハローディングポート13、13にはポッド10のキャップ10aを開閉するポッドオープナ20をそれぞれ設けることにより、一方のウエハローディングポート13におけるポッド10に対するウエハ9の出し入れ作業(ウエハローディングおよびウエハアンローディング)中に、他方のウエハローディングポート13へのポッド10の搬入搬出作業やウエハローディングまたはウエハアンローディングのための準備作業を同時進行させること
ができるため、ポッド10を入替える際の待ち時間をなくしスループットを高めることができる。
2) 一対のウエハローディングポート13、13を上下に二段設置することにより、ウエハローディングポートの占拠面積を増加させなくて済むため、半導体製造装置1の横幅の増加を回避しつつスループットを高めることができる。
3) 一対のウエハローディングポート13、13を上下に二段設置するとともに、両ウエハローディングポート13、13にはポッド10のキャップ10aを開閉するポッドオープナ20をそれぞれ設けることにより、ウエハ移載装置15に幅方向の動作を追加させずに済むため、半導体製造装置1の横幅の増加を回避しつつスループットを高めることができる。
4) 一対のウエハローディングポート13、13を上下に二段設置するとともに、両ウエハローディングポート13、13には一対のマッピング装置53、53をそれぞれ設けることにより、一方のウエハローディングポート13におけるポッド10に対するウエハ9の出し入れ作業中に、他方のウエハローディングポート13のポッド10に対するマッピング作業を同時進行させることができるため、ポッド10に対するマッピング作業の際の待ち時間をなくし半導体製造装置1のスループットを高めることができる。
5) ベース21の背面のウエハ出入口22の片脇に据え付けたロータリーアクチュエータ50の回転軸50aにアーム51を固定するとともに、アーム51をベース21に開設された挿通孔52を挿通させて、そのベース21の正面側の先端部にマッピング装置53を固定することにより、マッピング装置53を円弧軌跡によってポッド10の開口部に出し入れさせることができるため、マッピング装置53の出し入れのための駆動装置を簡単かつ小形に構成することができる。
6) ポッド10のキャップ10aを保持したクロージャ40が水平方向に移動するようにポッドオープナ20を構成することにより、ポッドオープナ20の高さが増加するのを防止することができるため、複数段のポッドオープナ20を垂直方向に並べて設置した場合であっても全体の高さが著しく増加するのを防止することができる。すなわち、クロージャ40を水平移動するように構成することによる効果は複数段のポッドオープナ20を垂直方向に並設した場合により一層顕著になる。換言すれば、クロージャ40を水平移動す
るように構成することにより、初めて複数段のポッドオープナ20を垂直方向に並設することができる。
ここで、ポッド10のキャップ10aを保持したクロージャ40が垂直方向に移動するようにポッドオープナ20を構成した場合には、ポッドオープナ20の高さがキャップ10aの高さの分だけ増加(略倍増)してしまうため、複数段のポッドオープナ20を垂直方向に設置すると、高さが相乗的に増加してしまう。その増加に伴って、ポッド棚12はより一層上方に設置されることになるため、ポッドの搬送時間が増加しスループットが低下する。
また、半導体製造装置の高さ規制によってポッド棚の頂上の高さは制限されるため、ポッド棚が上方に行き過ぎると、ポッド棚の段数が減少されることになり、ポッド棚のポッドの収納数が減少してしまう。つまり、クロージャ40を垂直移動するように構成すると、複数段のポッドオープナ20すなわちウエハローディングポート13を垂直方向に並設することができない。
図8は本発明の第二の実施の形態であるウエハ装填脱装方法を示すシーケンス図である。
本実施の形態においては、マッピングステップは次の例のような方法によって事前に完了されている。
ポッド10の筐体2への投入時にポッド10がウエハローディングポート13にポッド搬送装置14によって搬送され、ポッド10のキャップ10aがポッドオープナ20によって外され、ポッド10内のウエハ9がマッピング装置50にマッピングされる。マッピング終了後に、ポッド10のキャップ10aがポッドオープナ20によって閉じられ、ポッド10がポッド棚12にポッド搬送装置14によって搬送されて保管される。
なお、各ステップにおけるポッドオープナ20やマッピング装置50等の動作は前記第一の実施の形態と同様である。
予めマッピングされた後にポッド棚12に保管されたポッド10はポッド搬送装置14によって適宜にピックアップされ、図8に示された実ポッド搬入ステップS1において上段ポートAに搬入される。上段ポートAに搬入されたポッド10はキャップ10aを外される実ポッド開けステップS2を実行される。
続いて、上段ポートAのポッド10はウエハ移載装置15によってウエハ9をボート8に装填するチャージングステップS4−1を実行される。
図8に示されているように、上段ポートAにおけるチャージングステップS4−1の実行中に、下段ポートBにおいては実ポッド搬入ステップS1が実行される。下段ポートBに搬入されたポッド10は待機ステップStにおいてそのまま待機される。
このように下段ポートBにおいてポッド10がキャップ10aを閉じたまま待機していると、上段ポートAのチャージングステップS4−1の実行に際して下段ポートBのポッド10の内部に異物が侵入するのを防止することができる。
図8に示されているように、上段ポートAにおけるチャージングステップS4−1が終了すると、下段ポートBにおいてはポッド10のキャップ10aが外される実ポッド開けステップS2が実行される。
続いて、下段ポートBのポッド10はウエハ移載装置15によってウエハ9をボート8に装填するチャージングステップS4−2を実行される。
翻って、図8に示されているように、上段ポートAにおいてはチャージングステップS4−1が終了すると、空ポッド閉じステップS5が実行される。キャップ10aによっウエハ出入口が閉塞された上段ポートAの空のポッド10は、図8の空ポッド搬出ステップS6において、ポッド棚12にポッド搬送装置14によって搬送されて一時的に戻される。
空のポッド10が上段ポートAから搬出されると、図8に示されているように、次の実ポッド10が上段ポートAに搬入される実ポッド搬入ステップS1が実行される。この上段ポートAに搬入されたポッド10は待機ステップStにおいてにおいてそのまま待機される。
このように上段ポートAにおいてポッド10がキャップ10aを閉じたまま待機していると、下段ポートBのチャージングステップS4−2の実行に際して上段ポートAのポッド10の内部に異物が侵入するのを防止することができる。
図8に示されているように、上段ポートAにおける空ポッド閉じステップS5〜待機ステップStの実行中に、下段ポートBにおいてはチャージングステップS4−2が実行される。下段ポートBにおいてチャージングステップS4−2が終了すると、空ポッド閉じステップS5が実行される。
続いて、空のポッド10は空ポッド搬出ステップS6においてポッド棚12にポッド搬送装置14によって搬送されて一時的に戻される。空のポッド10が下段ポートBから搬出されると、次に装填すべきポッド10が下段ポートBに搬入される実ポッド搬入ステップS1が実行される。
以上のようにして上段ポートAと下段ポートBとに対するウエハ移載装置15によるチャージングステップS4−1、S4−2、S4−3、S4−4が交互に繰り返されることによって、複数枚のウエハ9がポッド10からボート8に装填されて行く。
例えば、一回のバッチ処理のウエハ枚数が百枚の場合には図8に示されているように、前述したステップS1〜ステップS6および待機ステップStが上段ポートAと下段ポートBとにおいて二回宛繰り返されることになる。
そして、予め指定された複数枚(図8の場合は百枚)のウエハ9がポッド10からボート8に移載されると、図8に示されているように、成膜待機ステップSt(Sp)が前記実施の形態と同様にして実行される。すなわち、ボート8はエレベータ7によって上昇されてプロセスチューブ4の処理室に搬入される。ボート8が上限に達すると、ボート8を保持したキャップの上面の周辺部がプロセスチューブ4をシール状態に閉塞するため、処理室は気密に閉じられた状態になる。
この成膜待機ステップSt(Sp)の実行中に上段ポートAおよび/または下段ポートBにおいては、処理済みウエハの回収作業が同時に進行されている。例えば、図8に示されているように、成膜待機ステップSt(Sp)中に、上段ポートAへ空ポッド搬入ステップ7において空ポッド10が搬入され、続いて、空ポッド開けステップS8によって空ポッド10のキャップ10aが外される。
次いで、上段ポートAのディスチャージングステップS9−1において装填ステーションに搬出されたボート8の処理済みウエハ9は、ウエハ移載装置15によりディスチャージングされ、上段ポートAに予め搬入されてキャップ10aを外されて開放された空のポッド10に収容(ウエハアンローディング)される。
上段ポートAへの空のポッド10への所定の枚数のウエハ9の収容が終了すると、図8に示されているように、処理済みポッド閉じステップS10が前記第一の実施の形態の場合と同様にして実行される。
次いで、処理済み実ポッド搬出ステップS11において、処理済みのウエハ9が収納された処理済み実ポッド10はポッド棚12にポッド搬送装置14によって搬送されて戻される。
以上の上段ポートAにおけるディスチャージングステップS9−1の実行中に、図8に示されているように、下段ポートBにおいては空ポッド搬入ステップS7が上段ポートAの場合と同様にして実行される。下段ポートBにおいて空ポッド搬入ステップS7が終了した後に上段ポートAにおいてディスチャージングステップS9−1が継続中の場合には、下段ポートBにおいては待機ステップStが適宜に実行されることになる。
以上のように上段ポートAまたは下段ポートBのチャージングステップS4およびディスチャージングステップS9の実行中に、下段ポートBまたは上段ポートAにおいて実ポッド搬入ステップS1や空ポッド搬出ステップS6、処理済み実ポッド搬出ステップS11および空ポッド搬入ステップS7等を実行することにより、上段ポートAまたは下段ポートBにおけるウエハ9の装填作業または脱装作業の終了と同時に、下段ポートBまたは上段ポートAに待機させたポッド10のキャップ10aを外してウエハ9のウエハ移載装
置15による装填作業または脱装作業を開始することができる。すなわち、ウエハ移載装置15はポッド10の入替え作業についての待ち時間を浪費することなくウエハ移載(ウエハローディングおよびウエハアンローディング)作業を連続して実施することができるため、半導体製造装置1のスループットを高めることができる。
なお、新旧ポッド10のポッドステージ11への搬入搬出作業およびポッドステージ11とポッド棚12との間の入替え作業は、成膜待機ステップSt(Sp)の実行中に同時進行されるため、半導体製造装置1の全体としての作業時間が延長されるのを防止することができる。
図9は本発明の第三の実施の形態であるウエハ装填脱装方法を示すシーケンス図である。
本実施の形態が前記第二の実施の形態と異なる点は、上段ポートAまたは下段ポートBの一方におけるチャージングステップS4およびディスチャージングステップS9の終了直前に、下段ポートBまたは上段ポートAの他方においてポッド開けステップS2およびS8を実行するように設定されている点である。
図10は本発明の第四の実施の形態であるウエハ装填脱装方法を示すシーケンス図である。
本実施の形態が前記第二の実施の形態と異なる点は、上段ポートAまたは下段ポートBの一方におけるチャージングステップS4およびディスチャージングステップS9の実行中に、下段ポートBまたは上段ポートAの他方においてポッド搬入ステップおよびポッド開けステップを実行し、ポッド10のキャップ10aを外した状態で待機する(すなわち待機ステップStを実行する)ように設定されている点である。
なお、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々に変更が可能であることはいうまでもない。
例えば、ウエハローディングポートは上下二段設置するに限らず、上中下三段のように三段以上設置してもよい。
マッピング装置をポッドに対して進退させる構造としてはロータリーアクチュエータを使用した構成を採用するに限らず、XY軸ロボット等を使用した構成を採用してもよい。
また、マッピング装置は省略してもよい。
基板はウエハに限らず、ホトマスクやプリント配線基板、液晶パネル、コンパクトディスクおよび磁気ディスク等であってもよい。
半導体製造装置は成膜処理に使用するCVD装置に限らず、酸化膜形成処理や拡散処理等の熱処理にも使用することができる。
前記実施の形態ではバッチ式縦形拡散・CVD装置の場合について説明したが、本発明はこれに限らず、半導体製造装置全般に適用することができる。
以上説明したように、本発明によれば、半導体製造装置のリードタイムを短縮しスループットを高めることができる。
1…半導体製造装置(基板処理装置)、2…筐体、3…ヒータユニット、4…プロセスチューブ、5…ガス導入管、6…排気管、7…エレベータ、8…ボート、9…ウエハ(基板)、10…ポッド、10a…キャップ、11…ポッドステージ、12…ポッド棚、13…ウエハローディングポート、14…ポッド搬送装置、15…ウエハ移載装置、20…ポッドオープナ(開閉装置)、21…ベース、22…ウエハ出入口、23…支持台、24…ガイドレール、25…ガイドブロック、26…エアシリンダ装置、27…載置台、28…位置決めピン、30…ガイドレール、31…左右方向移動台、32…エアシリンダ装置、32a…ピストンロッド、33…ガイドレール、34…前後方向移動台、35…ガイド孔、36…ブラケット、37…ロータリーアクチュエータ、37a…アーム、38…ガイドピン、39…ブラケット、40…クロージャ、41…解錠軸、41a…係合部、42…プーリー、43…ベルト、44…連結片、45…エアシリンダ装置、46…吸着具、47…吸込口部材、50…ロータリーアクチュエータ、50a…回転軸、51…アーム、52…挿通孔、53…マッピング装置、54、55、56…パッキン。

Claims (4)

  1. 複数枚の基板を収納し開閉自在なキャップを有するポッドに対して前記基板を出し入れする、垂直方向に複数段設置された基板ローディングポートと、
    前記基板ローディングポートに載置された前記ポッドの前記キャップを開閉する際に前記キャップを水平方向に個別に移動させる前記基板ローディングポートそれぞれに設けられた開閉装置と、を有し、
    夫々の前記開閉装置が、前記キャップの垂直方向の幅よりも小さい間隔となるように配置されている基板処理装置。
  2. 複数枚の基板を収納し開閉自在なキャップを有するポッドに対して前記基板を出し入れする、垂直方向に複数段設置された基板ローディングポートと、
    前記基板ローディングポートに載置された前記ポッドの前記キャップを開閉する際に前記キャップを水平方向に個別に移動させる前記基板ローディングポートそれぞれに設けられた開閉装置と、を有し、
    夫々の前記開閉装置が、前記キャップの垂直方向の幅よりも小さい間隔となるように配置されているポッド開閉装置。
  3. 第一基板ローディングポートに載置されている第一ポッドの第一キャップを第一開閉装置により水平方向に移動させて前記第一キャップを開き、前記第一ポッドに収納された第一の複数枚の基板を搬送する工程と、
    前記第一基板ローディングポートの垂直方向に設置された第二基板ローディングポートに載置されている第二ポッドの第二キャップを前記第一開閉装置の垂直方向に前記第一キャップの垂直方向の幅よりも小さい間隔で設置された第二開閉装置により水平方向に移動させて前記第二キャップを開き、前記第二ポッドに収納された第二の複数枚の基板を前記ボートに移載する工程と、
    を有する基板処理方法。
  4. 第一基板ローディングポートに載置されている第一ポッドの第一キャップを第一開閉装置により水平方向に移動させて前記第一キャップを開き、前記第一ポッドに収納された第一の複数枚の基板を搬送する工程と、
    前記第一基板ローディングポートの垂直方向に設置された第二基板ローディングポートに載置されている第二ポッドの第二キャップを前記第一開閉装置の垂直方向に前記第一キャップの垂直方向の幅よりも小さい間隔で設置された第二開閉装置により水平方向に移動させて前記第二キャップを開き、前記第二ポッドに収納された第二の複数枚の基板を前記ボートに移載する工程と、前記ボートを処理室に搬送し該処理室で前記ボートに収納された少なくとも第一の複数枚の基板及び第二の基板を処理する工程と、
    を有する半導体装置の製造方法。










JP2010034530A 2000-04-17 2010-02-19 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法 Expired - Lifetime JP4581032B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010034530A JP4581032B2 (ja) 2000-04-17 2010-02-19 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000114818 2000-04-17
JP2010034530A JP4581032B2 (ja) 2000-04-17 2010-02-19 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008035856A Division JP4583461B2 (ja) 2000-04-17 2008-02-18 基板の搬送方法、基板処理方法、半導体装置の製造方法および基板処理装置

Publications (2)

Publication Number Publication Date
JP2010147499A true JP2010147499A (ja) 2010-07-01
JP4581032B2 JP4581032B2 (ja) 2010-11-17

Family

ID=18626558

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2008035856A Expired - Lifetime JP4583461B2 (ja) 2000-04-17 2008-02-18 基板の搬送方法、基板処理方法、半導体装置の製造方法および基板処理装置
JP2010034530A Expired - Lifetime JP4581032B2 (ja) 2000-04-17 2010-02-19 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法
JP2010034484A Expired - Lifetime JP4581031B2 (ja) 2000-04-17 2010-02-19 基板処理装置、基板処理方法および半導体装置の製造方法
JP2010177194A Expired - Lifetime JP5237336B2 (ja) 2000-04-17 2010-08-06 基板処理装置、基板収納容器開閉装置、基板処理方法、基板の搬送方法および基板収納容器の開閉方法
JP2012130845A Expired - Lifetime JP5518132B2 (ja) 2000-04-17 2012-06-08 基板処理装置、基板処理方法、半導体装置の製造方法、基板の搬送方法、基板収納容器開閉装置および基板収納容器開閉方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008035856A Expired - Lifetime JP4583461B2 (ja) 2000-04-17 2008-02-18 基板の搬送方法、基板処理方法、半導体装置の製造方法および基板処理装置

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2010034484A Expired - Lifetime JP4581031B2 (ja) 2000-04-17 2010-02-19 基板処理装置、基板処理方法および半導体装置の製造方法
JP2010177194A Expired - Lifetime JP5237336B2 (ja) 2000-04-17 2010-08-06 基板処理装置、基板収納容器開閉装置、基板処理方法、基板の搬送方法および基板収納容器の開閉方法
JP2012130845A Expired - Lifetime JP5518132B2 (ja) 2000-04-17 2012-06-08 基板処理装置、基板処理方法、半導体装置の製造方法、基板の搬送方法、基板収納容器開閉装置および基板収納容器開閉方法

Country Status (4)

Country Link
US (2) US6641350B2 (ja)
JP (5) JP4583461B2 (ja)
KR (1) KR100639765B1 (ja)
TW (1) TWI222108B (ja)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
US7147424B2 (en) * 2000-07-07 2006-12-12 Applied Materials, Inc. Automatic door opener
JP3581310B2 (ja) * 2000-08-31 2004-10-27 Tdk株式会社 防塵機能を備えた半導体ウェーハ処理装置
JP4342745B2 (ja) * 2000-09-27 2009-10-14 株式会社日立国際電気 基板処理方法および半導体装置の製造方法
US7021882B2 (en) 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener
JP3699348B2 (ja) * 2000-11-30 2005-09-28 平田機工株式会社 駆動部隔離foupオープナ
JP3697478B2 (ja) * 2001-08-20 2005-09-21 ソニー株式会社 基板の移送方法及びロードポート装置並びに基板移送システム
US6926489B2 (en) * 2002-05-09 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Latch sensor for pod transport gripper
AU2003259104A1 (en) * 2002-07-15 2004-02-02 Asml Us, Inc. Thermal processing system and configurable vertical chamber
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
KR101028065B1 (ko) * 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7614840B2 (en) * 2002-12-30 2009-11-10 Tdk Corporation Wafer processing apparatus having dust proof function
US7264331B2 (en) * 2003-10-29 2007-09-04 Konica Minolta Medical & Graphic, Inc. Ink jet recording apparatus
US7024275B2 (en) * 2003-11-05 2006-04-04 Taiwan Semiconductor Manufacturing Company Control method and system for an automated material handling system
US7720557B2 (en) 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7218983B2 (en) 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7177716B2 (en) 2004-02-28 2007-02-13 Applied Materials, Inc. Methods and apparatus for material control system interface
US7274971B2 (en) 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
TWI290875B (en) * 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
ATE527690T1 (de) 2004-08-23 2011-10-15 Murata Machinery Ltd Werkzeuglade- und pufferungssystem auf liftbasis
FR2874744B1 (fr) * 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
KR100909494B1 (ko) * 2006-05-11 2009-07-27 도쿄엘렉트론가부시키가이샤 처리장치
TWI452643B (zh) * 2006-05-11 2014-09-11 Tokyo Electron Ltd Inspection device and inspection method
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP4215079B2 (ja) * 2006-07-31 2009-01-28 村田機械株式会社 クリーンストッカと物品の保管方法
WO2008035324A2 (en) * 2006-09-19 2008-03-27 Coreflow Scientific Solutions Ltd Apparatus for fluid treatment
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2009135232A (ja) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd ロードポート
KR101077566B1 (ko) * 2008-08-20 2011-10-28 세메스 주식회사 기판 처리장치 및 이의 기판 이송 방법
JP5227701B2 (ja) * 2008-08-28 2013-07-03 東京応化工業株式会社 基板処理システム
US8919756B2 (en) * 2008-08-28 2014-12-30 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device, and coating device
US9214372B2 (en) 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
KR101152271B1 (ko) * 2010-05-07 2012-06-08 유정호 일체형 반도체 처리 장치
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5980551B2 (ja) * 2011-07-13 2016-08-31 株式会社日立国際電気 温度検出部、基板処理装置、及び半導体装置の製造方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103890926A (zh) * 2011-11-09 2014-06-25 昕芙旎雅有限公司 装载端口、efem
JP2013143425A (ja) * 2012-01-10 2013-07-22 Tokyo Electron Ltd 基板処理システム及び基板位置矯正方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6819565B2 (ja) * 2017-12-21 2021-01-27 株式会社ダイフク 物品収納設備
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7300817B2 (ja) * 2018-09-21 2023-06-30 株式会社Screenホールディングス 基板処理装置および基板処理装置の制御方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11842913B2 (en) * 2021-09-24 2023-12-12 Applied Materials, Inc. Seal mechanisms for load ports

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163436A (ja) * 1992-11-26 1994-06-10 Tokyo Electron Tohoku Ltd 縦型熱処理装置
JPH06224145A (ja) * 1993-01-21 1994-08-12 Tokyo Electron Tohoku Ltd 処理装置
JPH08279546A (ja) * 1995-03-28 1996-10-22 Jenoptik Ag 半導体加工装置のためのローディング及びアンローディング用ステーション
JPH113927A (ja) * 1997-06-10 1999-01-06 Tokyo Electron Ltd 基板処理装置及びカセット内の基板検出装置
JPH11274267A (ja) * 1998-03-23 1999-10-08 Tokyo Electron Ltd 処理方法および処理装置
WO1999065064A1 (en) * 1998-06-05 1999-12-16 A.S.M. International N.V. Method and device for transferring wafers
JP2000150400A (ja) * 1998-11-18 2000-05-30 Tokyo Electron Ltd 縦型熱処理装置およびボート搬送方法
JP2000216212A (ja) * 1999-01-26 2000-08-04 Kokusai Electric Co Ltd 基板処理装置
JP2001093851A (ja) * 1999-07-21 2001-04-06 Tokyo Electron Ltd 熱処理方法および熱処理装置
JP2001509465A (ja) * 1997-07-11 2001-07-24 アシスト テクノロジーズ インコーポレイテッド Smifポッド格納、搬送及び回収システム
JP2002518838A (ja) * 1998-06-17 2002-06-25 ジェンマーク・オートメーション・インコーポレーテッド 超クリーン保管容器の自動開閉装置
JP2002540621A (ja) * 1999-03-26 2002-11-26 エーエスエム アメリカ インコーポレイテッド マルチステージシングルドライブのfoupドア開放システム

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2868645B2 (ja) * 1991-04-19 1999-03-10 東京エレクトロン株式会社 ウエハ搬送装置、ウエハの傾き検出方法、およびウエハの検出方法
US5319216A (en) * 1991-07-26 1994-06-07 Tokyo Electron Limited Substrate detector with light emitting and receiving elements arranged in staggered fashion and a polarization filter
JP2725496B2 (ja) * 1991-09-30 1998-03-11 三菱電機株式会社 移替装置
US5308993A (en) * 1993-03-28 1994-05-03 Avalon Engineering, Inc. Semiconductor wafer cassette mapper having dual vertical column of light emitting apertures and a single vertical column of light receiving apertures
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JP3283798B2 (ja) 1996-08-29 2002-05-20 東京エレクトロン株式会社 処理装置
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JPH10256346A (ja) * 1997-03-13 1998-09-25 Tokyo Electron Ltd カセット搬出入機構及び半導体製造装置
JPH1131729A (ja) 1997-07-14 1999-02-02 Dainippon Screen Mfg Co Ltd 基板収納容器供給装置
JPH11204617A (ja) 1998-01-13 1999-07-30 Dainippon Screen Mfg Co Ltd 基板検出装置および基板搬入搬出装置
JP3590517B2 (ja) * 1998-01-21 2004-11-17 株式会社 日立インダストリイズ カセット内ウエフア検出装置
US6050891A (en) * 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JP3974992B2 (ja) * 1998-02-27 2007-09-12 大日本スクリーン製造株式会社 基板収納容器の蓋開閉装置および基板搬入搬出装置
JP3954714B2 (ja) 1998-02-27 2007-08-08 大日本スクリーン製造株式会社 基板搬送装置
JP3658188B2 (ja) 1998-06-02 2005-06-08 大日本スクリーン製造株式会社 基板処理装置
JPH11354602A (ja) 1998-06-03 1999-12-24 Mecs Corp ポッドオープナーの蓋ラッチ装置
JP2000012670A (ja) 1998-06-19 2000-01-14 Nikon Corp 基板カセット

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163436A (ja) * 1992-11-26 1994-06-10 Tokyo Electron Tohoku Ltd 縦型熱処理装置
JPH06224145A (ja) * 1993-01-21 1994-08-12 Tokyo Electron Tohoku Ltd 処理装置
JPH08279546A (ja) * 1995-03-28 1996-10-22 Jenoptik Ag 半導体加工装置のためのローディング及びアンローディング用ステーション
JPH113927A (ja) * 1997-06-10 1999-01-06 Tokyo Electron Ltd 基板処理装置及びカセット内の基板検出装置
JP2001509465A (ja) * 1997-07-11 2001-07-24 アシスト テクノロジーズ インコーポレイテッド Smifポッド格納、搬送及び回収システム
JPH11274267A (ja) * 1998-03-23 1999-10-08 Tokyo Electron Ltd 処理方法および処理装置
WO1999065064A1 (en) * 1998-06-05 1999-12-16 A.S.M. International N.V. Method and device for transferring wafers
JP2002518838A (ja) * 1998-06-17 2002-06-25 ジェンマーク・オートメーション・インコーポレーテッド 超クリーン保管容器の自動開閉装置
JP2000150400A (ja) * 1998-11-18 2000-05-30 Tokyo Electron Ltd 縦型熱処理装置およびボート搬送方法
JP2000216212A (ja) * 1999-01-26 2000-08-04 Kokusai Electric Co Ltd 基板処理装置
JP2002540621A (ja) * 1999-03-26 2002-11-26 エーエスエム アメリカ インコーポレイテッド マルチステージシングルドライブのfoupドア開放システム
JP2001093851A (ja) * 1999-07-21 2001-04-06 Tokyo Electron Ltd 熱処理方法および熱処理装置

Also Published As

Publication number Publication date
JP2010161389A (ja) 2010-07-22
JP2011040743A (ja) 2011-02-24
KR20010098658A (ko) 2001-11-08
JP4581032B2 (ja) 2010-11-17
JP2008182255A (ja) 2008-08-07
JP5518132B2 (ja) 2014-06-11
USRE43023E1 (en) 2011-12-13
TWI222108B (en) 2004-10-11
JP2012199584A (ja) 2012-10-18
US20010038783A1 (en) 2001-11-08
JP5237336B2 (ja) 2013-07-17
JP4581031B2 (ja) 2010-11-17
US6641350B2 (en) 2003-11-04
KR100639765B1 (ko) 2006-10-31
JP4583461B2 (ja) 2010-11-17

Similar Documents

Publication Publication Date Title
JP4581032B2 (ja) 基板処理装置、ポッド開閉装置、基板処理方法および半導体装置の製造方法
JP4977228B2 (ja) 基板装填脱装方法および半導体装置の製造方法
JP2006351868A (ja) 処理システム及び処理方法
JP4342745B2 (ja) 基板処理方法および半導体装置の製造方法
JP2006086308A (ja) 半導体製造装置
JP4255222B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
JP4155722B2 (ja) 基板処理装置、ポッド開閉装置、基板処理方法、半導体装置の製造方法および基板搬送方法
JP2002246432A (ja) 基板処理装置
JP2005079250A (ja) 基板処理装置
JP4790326B2 (ja) 処理システム及び処理方法
JP2012015530A (ja) 基板処理装置および基板検出方法
JP4709912B2 (ja) 基板処理方法および半導体装置の製造方法
JP2002261150A (ja) 基板処理装置
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2001093957A (ja) 電子部品の製造装置および電子部品の製造方法
JP4728383B2 (ja) 基板処理装置および半導体装置の製造方法
JP4847032B2 (ja) 基板処理装置および基板検出方法
JP2004119627A (ja) 半導体製造装置
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100615

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100804

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100824

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100830

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130903

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4581032

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140903

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term