TW514956B - Processing unit and processing method for substrate - Google Patents

Processing unit and processing method for substrate Download PDF

Info

Publication number
TW514956B
TW514956B TW088108932A TW88108932A TW514956B TW 514956 B TW514956 B TW 514956B TW 088108932 A TW088108932 A TW 088108932A TW 88108932 A TW88108932 A TW 88108932A TW 514956 B TW514956 B TW 514956B
Authority
TW
Taiwan
Prior art keywords
boat
wafer
opening
carrier
heat treatment
Prior art date
Application number
TW088108932A
Other languages
English (en)
Inventor
Katsumi Ishii
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW514956B publication Critical patent/TW514956B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • Y10S414/138Wafers positioned vertically within cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

經濟部智慧財產局員工消費合作社印製 514956 A7 B7 五、發明說明(1 ) 本發明之背景 本發明之技術領域 本發明係關於基材處理單元及基材處理方法。 習知技術之說明 製造半導體裝置用的處理包含的步驟有將作為基材的 半導體進行諸如氧化、擴散、CVD (化學氣相沈積)等 處理。垂直熱處理單元通常用於進行各種處理。傳統的垂 直熱處理單元包含:一載體傳輸區,其中操作員或自動傳 輸機構將握持並傳輸裝載半導體晶圓的載體;以及一負載 區,其中在載體中的半導體晶圓將被傳輸至晶舟以進行熱 處理’其接著被裝載至或卸載自熱處理爐。 在該垂直熱處理單元中,其最好設置一分隔(分壁) 於曝置在大氣中的載體傳輸區與負載區之間。該分隔可保 持負載區的潔淨並避免自然氧化層形成在半導體晶圓上。 該負載區亦最好以諸如氮氣等鈍氣填充。密閉式載體亦最 好使用於垂直熱處理單元中,以避免半導體晶圓為微检所 污染’其中半導體晶圓的入口可以外蓋密閉。 具有開口之載體放置部份係置於載體傳輸區與負載區 間的分隔。該開口係用於與載體傳輸區側的載體接觸。設 置門在負載區側的開口,以開啟及密閉其。在處理半導體 晶圓時,校準載體外蓋以接觸載體放置部份,並開啟門及 外蓋,而與負載區的載體内部接觸。接著由載體的内部將 半導體晶圓穿經該開口傳輸至負載區中的晶舟。接著將該 晶舟負載於熱處理爐中,而在半導體晶圓上進行前述的處 -------------------Γ-ίι 訂---^------線. (請先閱讀背面之注意事項再填寫本頁)
A7 B7 五、發明說明(2 ) 理〇 (請先閱讀背面之注意事項再填寫本頁) 此外三二個晶舟係被使用如下。一晶舟係被置於外蓋 上該外蓋可被垂直上升而開啟或密閉熱處理爐的開口。 當爐體的開口以外蓋密閉且晶舟中的半導體晶圓進行熱處 理時,其他的半導體晶圓將傳輸至其他晶舟。此舉可改良 垂直熱處理單元的產能。 抑用於具有諸如3G〇mm直徑的大半導體晶圓的垂直熱處 理早π需要具有大容量及大開口的熱處理爐。因此,在熱 處理後,當爐體開口被開啟時,爐體中的熱將由爐體開口 外漏至負載區,爐體中的晶舟將被傳遞出,次個晶舟將被 傳輸至爐體並以外蓋密閉爐趙開口。此舉將使負載區的溫 度上升,並影響負載區的構件。此外,其將耗費過多的能 源,因為熱處理爐内部必須維持在預定溫度以上。 該垂直熱處理單元的寬度、深度及高度將隨諸如 300mm之半導體晶圓的直徑增加而增加。地板空間及天花 板高度亦被增加,以安置垂直熱處理單元。此舉將導致製 造及維護垂直熱處理單元的成本增加。因此,盡量縮小垂 直熱處理單元係為所需。 經濟部智慧財產局員工消費合作社印製 為改良以垂直熱處理單元處理半導體晶圓的能力,則 晶舟放置位置排列在用於放置半導體晶圓被傳輸至其之一 晶舟的負載區,且其他晶舟仍進行熱處理;傳輸構件置於 負載區中,以在晶舟放置位置上的晶舟與載體玫置位置的 載體間傳輸半導體晶圓;以及該晶舟傳輸構件置於負載區 中,以在晶舟放置部份與外蓋間傳輸晶舟,係為所欲。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 514956 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 晶舟路徑與傳輸構件所佔空間重疊可有效地減小垂直熱處 理單TG ^然而,在該狀況中,晶舟與傳輸構件彼此干擾係 非所欲。 此外,熱處理前的晶舟可能會在熱處理後位於其他晶 舟下方,雖然該二個晶舟係個别於晶舟放置位置與外蓋間 傳輸。在該狀況中,熱處理前之晶舟中的半導體晶圓可能 會在熱處理後被其他晶舟的微粒或氣體所污染。 ’ 本發明之概要 因此,本發明之目的係為提供一垂直熱處理單元,其 可在外蓋由開口卸下以及熱處理後的晶舟輸出時,減少由 爐體開啟所產生之熱漏的影響。 本發明之另-個目的係為改良處理基材的能力,其係 藉由使晶舟路徑與基材之傳輸構件所佔空間重疊,並在晶 舟傳輸時橫向地由晶舟路徑撤退出傳輸機構,以避免晶舟 與傳輸機構間的干擾。 Μ 本發明的另一個目的係為達成單元最小化,其係藉由 沿著載體放置位置的垂直中心線安置凹槽對齊構件,並藉 由減少其寬度與深度而無增加其高度。 9 本發明之另一個目的係為提供一種晶舟傳輪法,其中 在晶舟傳輸時,基材的污染將為所限。 為達成上述目的,本發明的特徵在於基材用的處理單 元包含··具有—底部及設置於底部之開口的_垂直熱處理 -第-外蓋,*中在垂直複層板中之為晶舟所握持的 基材可被置於其上,其可開啟及密閉垂直熱處理爐的開口 Μ氏張尺度適財國國家標準(CNS)A4規格(210 X 297公爱— ------m---r---· 11 I Ί I I -N ^ i I I I--- (請先閱讀背面之注意事項再填寫本頁)
514956 五、發明說明(4 ) ㈣置於其上;一晶舟放置部份,晶舟及其他晶舟 可至於其上,_晶舟傳輸構件,用於交替傳輸晶舟放置部 份與第一外蓋間的二個晶舟;以及—第二外蓋,用於在第 -外盍開啟開π但無晶舟穿經該開口時,密閉該垂直熱處 理爐的開口。 根據本特徵,該處理單元可在第一外蓋由開口卸下且 晶舟被輸㈣’有效地減少開口所造成之不良影響;亦可 藉由避免熱處理爐内部溫度的下降,而減少耗f能源。 該第二蓋最好為可相對於垂直熱處理爐的開口的外表 面垂直及水平地移動的開關,以開啟並密閉該開口。 該處理單元最好更包含有:一載體放置部份,容納基 材的載體可被置於其上;以及—傳輸構件,用於在置於載 體放置部份上的載體與置於晶舟放置部份上的晶舟間的基 材傳輸。在該狀況中,該傳輸構件最好能伸縮,其方式為 在晶舟以晶舟傳輸構件傳輸時,該傳輸構件不會干擾晶舟 。在該狀況中’處理單元可被適當地縮小。 凹槽對齊構件最好沿著載體放置部份的垂直中心線安 置,以對齊設置在基材上的凹槽。在該狀況中,處理單元 的寬度、深度及高度可被有效地縮減,故傳輸基材的時間 可同時被減少。 該晶舟傳輸構件最好包含:一第一臂,其具有一旋轉 轴及一末端且其可垂直上升並環繞旋轉軸水平旋轉;以及 一第二臂,其末端被支撐而使第二臂可環繞末端水平旋轉 且其可垂直握持晶舟並以晶舟中心穿過第一臂旋轉軸的方 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) - ί ----Ί--I-------^ i^wi (請先閱讀背面之注咅?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 7 經濟部智慧財產局員工消費合作社印製 A7 —------- B7__ 五、發明說明(5 ) 式傳輸該晶舟;而藉由同步旋轉該第-臂與第二臂,則該 2舟傳輸構件可相對於第一臂的旋轉軸而以徑向傳輸該: 舟。在該狀況中’傳輸晶舟的空間可被減小,故處理單元 的寬度與深度可同時被減少。 料輸構件最好垂直地移動至晶舟傳輸構件上方。 -換氣單元最好相鄰晶舟放置部份㈣,以製做清淨 氣流。在該狀況中,該晶舟放置部份最好具有-第一放置 ^份以及-安置於第_放置部份與第—外蓋間的第二放置 部份;且該晶舟傳輸構件最好可傳輸晶舟,由第-放置部 份至第二放置部份,由第二放置部份至第一外蓋,及由第 -外蓋至第-放置部份,以該方式,晶舟將順著換氣單元 所製的清淨氣流而穿經第二放置部份下方。 此外,本發明的特徵在於使用基材處理單元之基材處 理法包含:具有一底部及設置於底部之開口的一垂直熱處 理爐;-第-外蓋,其中在垂直複層板中之為晶舟所握持 的基材可被置於其上,其可開啟及密閉垂直熱處理爐的開 口,而晶舟係置於其上;一晶舟放置部份,其具有一第一 放置部份以及一安置於第一放置部份與第一外蓋間的第二 放置部份;一第二外蓋,用於在第一外蓋開啟開口但無晶 舟穿經該開口時,密閉該垂直熱處理爐的開口; 一載體放 置部份,容納基材的載體可置於其上;一傳輸構件,用於 在置於載體放置部份上的載體與置於晶舟放置部份上的晶 舟間傳輸基材;一換氣單元,其相鄰晶舟放置部份排列, 以製做清淨氣流;以及一晶舟傳輸構件,其可傳輸晶舟, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
(請先閲讀背面之注意事項再填寫本頁) --線_ A7
五、發明說明(6 ) 由第一放置部份至第二放置部份,由第二放置部份至第一 外蓋及由第一外蓋至第一放置部份,以該方式,晶舟將 順著換氣單it所製的清淨氣流而穿經第二放置部份下方, 該方法包含的步驟有:以晶舟傳輸構件將一第一晶舟由第 一部份傳輸至第二部份,以晶舟傳輸構件將一第二晶舟由 第-外蓋傳輸至第-部份,以該方式,該第二晶舟將順著 換氣單元所製的清淨氣流而穿過第二放置部份下方,以及 以晶舟傳輸構件將第一晶舟由第二部份傳輸至第一外蓋。 根據本特徵,晶舟傳輸時,可避免熱處理前之晶舟中 的基材污染,因為晶舟在熱處理後並不會穿經其他晶舟下 方。 圖式之簡要說明 第1圖為本發明之垂直熱處理單元的實施例的示意透 視圖; 第2圖為第1圖之垂直熱處理單元的示意平面圖; 第3圖為第1圖之垂直熱處理單元的示意垂直橫截面圖 第4圖為載體放置部份與凹槽對齊構件的示意前視圖 第5圖為第1圖之晶舟傳輸構件的示意透視圖; 第6圖為表示傳輸晶舟之方法的示意平面圖; 第7圖為本發明之垂直熱處理單元的另一個實施例的 示意透視圖; 第8圖為第7圖之垂直熱處理單元的示意平面圖· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) • — I ϋ I I I «I ϋ n fi I I I (請先閱讀背面之注咅?事項再填寫本頁) 訂---r.------線_ 經濟部智慧財產局員工消費合作社印製 9 A7 五、 B7 發明說明(7 經濟部智慧財產局員工消費合作社印製 請為第7圖之傳輪構件的示意側視圖; 第_為表示傳輪構件操作的_圖。 較佳實施例之說明 本發明之實施例將參考第1至10圖而被更詳細地說明 0 第1圖為本發明之香吉 、 s直熱處理單元的實施例的示意透 '見圖帛2圖為第1圖之垂直熱處理單元的示意平面圖。第 圖為第1圖之垂直熱處理單元的示意垂直橫截面圖。第斗 圖為載體放置邠伤與凹槽對齊構件的示意前視圖。第5圖 為第1圖之曰曰舟傳輸構件的示意透視圖。第6圖為表示傳輸 晶舟之方法的示意平面圖。 在這些圖式中’數字1代表置於潔淨室中之垂直熱處 理單7G的外罩。外罩丨内部係以隔板5分割成一载體傳輸區 Sa,載體2係被輸出入於其中且載體2係被保存於其中;以 及以及一負載區Sb,其中載體2中的半導體晶圓貿(被加 工的基材)係被傳輸至負載於或卸載於垂直式熱處理爐4 的晶舟3。 如第1及2圖所示,入口6係設置於外罩i的前面,而以 作業員或自動傳輸機械導入或送出載體2。如第2及3圖所 示,入口 6設有門7,其可垂直地移動以開啟及密閉入口 6 。座8係設於載體傳輸區Sa的入口 6附近,用於放置載體2 於其上。如第1及3圖所示,一感測構件9係設於座8的附近 ,用於開啟載體2的外蓋(未示於圖中)並偵測半導體晶 圓W的位置及數目。如第1至3圖所示,儲存部份1〇係形成 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 10 ------.---^------------r ·訂---Γ-------線-^· (請先閱讀背面之注咅?事項再填寫本頁) 514956 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(8 ) 於座8上,並接近隔間5的上半部,用於儲存複數個載體2 〇 如第3圖所示,諸如二個等複數個載體放置部份(傳 輸座)11係設置於隔間5侧面的垂直間隔位置,用於放置 傳輸半導體晶圓的載體2於其土。因此,其可改良處理單 元的產能,因為,當半導體晶圓貿被傳輸至另一個位於其 他載體放置部份的載體2時,一載體2可於一載體放置部份 被交換載體傳輸構件12係被安置於載體傳輸區Sa,以 傳輸載體2進出座8、儲存部份1〇及載體放置部份u。 如第2圖所示,該載體傳輸構件包含:一載體傳輸構 件12,其可以設置於載體傳輸區以側面的上升構件12a而 被垂直地移動;以及一傳輸臂12c,其係設置於用於支撐 載體2底部之上升臂12b上,以水平地傳輸載體2。 該載體被稱為密閉式,其可容納諸如13或25個之複數 個半導體晶圓W且其可以外蓋(未示於圖中)密閉。該載 體2包含用於容納並握持半導體晶圓w的可移動式塑膠容 器,該晶圓係以多層水平放置並以前述的間隔垂直地被分 隔。半導體晶圓W的半徑係為諸如30〇 mm。外蓋(未示 於圖中)係可移動地附著於載體2前的晶圓入口,該外蓋 可密閉晶圓入口。 因為穿經渡網(未示於圖中)的潔淨空氣被供給至載 體傳輸區Sa,故載體傳輸區Sa將充滿潔淨空氣。另一方面 ,因為潔淨的空氣亦被供給至負載區Sb,故該負載區Sb 係充滿潔淨空氣,或諸如氮氣等鈍氣係被供給至負載區S2 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 11 ------·---.-------1 丨Ί ·訂---r_------線*· (請先閱讀背面之注意事項再填寫本頁) 514956 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(9 ) ,故該負載區Sb係充滿鈍氣。如第i圖所示,隔板5具有 二個上下開口 13,在載體傳輸區sa側的載體2係與其接觸 。開口 13與載體放置部份11接觸。各開口 13設有外蓋14, 用於開啟及密閉開口 13。開口 13係以開口13的尺寸與載體 2的晶圓入口尺寸完全相同的方式形成,故半導體晶圓w 可穿經開口 13與晶圓入口進出載體2。 各門14叹有外蓋開啟·密閉構件(未示於圖中),用於 開啟及密閉载體2的外蓋。各門14亦與門開啟·密閉構件( 未不於圖中)連接,以開啟及密閉在負載區讥侧的門Μ 。使用該門開啟-密閉構件,而將門14及外蓋移向負載區讥 侧,並更將其移i或移了,而使其不會干擾半導體晶圓w 的傳輸。如第3圖所示,凹槽對齊構件15係安置於載體放 置部份11下,並沿著載體放置部份i i的垂直中心線,以對 齊没置於半導體晶圓w邊緣的凹槽(切除部份),亦即對 齊半導體晶圓W的結晶方向。該凹槽對齊構件15具有一開 口於負載區Sa側。使用該凹槽對齊構件15,而對齊由載體 放置部份11上的載體2以傳輸構件22所傳輸來的半導體晶 圓W 〇 該凹槽對齊構件15具有二個垂直隔離的設備,各設備 可對齊於二個半導體晶圓W的二個凹槽。因此,該處理單 兀的產能可被改良,目為一設備可將經對齊的+導體晶圓 W傳回晶舟3,而另一個設備則可對齊其他半導體晶圓w 。該設備可詩同時對齊諸如3或5個半導體㈣w。以凹 槽對齊構件15所對齊的半導體晶圓W的中心線係與置於載 ------->---i----------τ 訂---S--1---線 (請先閱讀背面之注音?事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 514956 A7 _ B7 五、發明說明(10) 體放置部份11上之載體2中的半導體晶圓w的中心線吻合 。因此,該處理單元的寬度、深度及高度可被有效地減少 ,故傳輸半導體晶圓的時間可被充分地減少。 熱處理爐4係置於負載區讥中的遠端及上端。熱處理 爐4具有爐口 4a於其底部。一外蓋17係置於爐體4下方。以 上升構件(未示於圖中)垂直地移動外蓋17,以將晶舟3 負載及卸出爐體4,並開啟及密閉爐口 4a。晶舟3係置於外 蓋17上,其可握持垂直等間隔之諸如1〇〇或15〇個複數半導 體晶圓W。晶舟3係以水晶或相似材料製成。熱處理爐4在 爐口 4a設有用於密閉爐口 4a的開關18,而在熱處理後,外 蓋Π係被卸下且晶舟3係被卸載。水平地旋轉開關丨8,以 開啟及密閉爐口 4a。設置開關驅動構件18a,而使開關18 旋轉。 如第2圖所示,一晶舟放置部份(晶座)19係鄰接負 載區Sb的側區,用於將半導體晶圓w輸出入晶舟時,將晶 舟3放置於其上。晶舟放置部份19具有一第一放置部份19& 以及一位於該第一放置部份l9a與第一外蓋17間的第二放 置部份19b。一換氣單元20係鄰接於晶舟放置部份19,用 於穿經濾網而淨化負載區別中的循環氣體(淨化空氣或 鈍氣)而由此製作潔淨的氣流。 一晶舟傳輸構件21係安置於載體放置部份η與負載區 Sb底部的熱處理爐4間,用於在晶舟放置部份19與外蓋17 間傳輸晶舟3。特別地是,該晶舟傳輸構件21係被安置以 將晶舟3傳輸於第一放置部份19a或第二放置部份19b及下 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 13 ----------*----------Τ1Τ·"Ί------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(11 ) 外蓋17間,以及第一放置部份19a與第二放置部份19b間。 一傳輸構件22係安置於晶舟傳輸構件21上,用於將半 導體晶圓W在位於載體放置部份11上的載體2與位於晶舟 放置部份19上的晶舟3之間傳輸,特別是位於載體放置部 份11上的載體2與凹槽對齊構件15間,凹槽對齊構件15與 位於晶舟放置部份19之第一放置部份19a上的晶舟3間,以 及位於第一放置部份19a上之熱處理後的晶舟3與位於載體 放置部份11上的空載體2間。 如第2圖所示,該晶舟傳輸構件21具有可垂直支撐一 晶舟3並水平移動(膨脹伸縮)的晶舟傳輸構件以。特別 地是,如第5圖所示,該晶舟傳輸構件21具有一第一臂2U ,其具有一旋轉軸及一末端且其可被上升環繞旋轉軸水平 地旋轉。該晶舟傳輸構件21亦具有一c形支撐臂2ib,其 係支撐於第一臂21b末端,藉此支撐臂21b可環繞末端水平 地旋轉。該C形支撐臂21b將形成一開口部份21C,其中心 被设计為穿經第一臂2ia的旋轉軸且其垂直地握持晶舟3。 亦即晶舟的中心可穿過第一臂21&的旋轉軸。因此,藉由 同步旋轉第一臂21a及支撐臂21b,晶舟3可相對於第一臂 21a的旋轉軸於徑向(水平線性方向)被傳輸。因此,用 於傳輸晶舟3的區域可盡可能地被縮小,故處理單元的寬 度及深度可被減少。 晶舟傳輸構件21可以第5圖之箭號所示的方向移動。 晶舟傳輸構件21可以第6圖之箭號所示的方向傳輸晶舟)。 特別地疋在熱處理則,該晶舟傳輸構件21將待置於第一 -------τ 訂---\------線· (請先閱讀背面之注咅心事項再填寫本頁)
514956 A7 B7 五、發明説明(l2 放置部份19a上的晶舟3傳輸至第二放置部份19b (①)。在 熱處理後,該晶舟傳輸構件21將其他晶舟3由第一外蓋! 7 ------------------------裝—— (請先閲讀背面之注意事項再填寫本頁) 傳輸至第一放置部份丨9a (②)。其次,在熱處理前,該晶 舟傳輸構件2 1將置於第二放置部份丨9b上的晶舟3傳輸至外 盍17上(③)。如第6圖所示,在熱處理後,晶舟3可順著換 *氣單元20所製的潔淨氣流而穿經第二放置部份19b下方。因 此’熱處理前的晶舟3在熱處理後不會穿經其他晶舟3下。 因此,當晶舟3被傳輸時,熱處理前之在晶舟3中的半導體 晶圓W將不會為來自熱處理後之晶舟3的微粒或氣體所污 染。
•訂I :線, 如第2圖所示,一傳輸構件22具有一底座22,其可環繞 旋轉軸平水旋轉;以及一設置於底座22a上的支撐臂22b , 其可前後移動且其具有諸如2或5個用於支撐半導體晶圓的 複數個插狀薄板。該傳輸構件22可以旋轉臂7構件而由如第 2圖之虛線所示的作業位置a橫向伸縮至該圖之實線所示 的排出位置B。旋轉臂23的基部係連接至設於負載區讥另 一邊的上升構件(未示於圖中)。因此,該傳輸構件22可垂 直地移動。當傳輸構件22下降至最低的位置時,基座22a 的下突出22c可容納於在下方靜置狀態之晶舟傳輸構件2i 的支撐臂21b的開口部份21c中。因此,可避免傳輸構件22 與晶舟傳輸構件2 1間的干擾,且傳輸構件22的上升行程可 被限定於較小的區域中。 其次,所述之垂直熱處理單元的作業係說明 曰 I 曰曰 舟的傳輸法亦一併被說明。當載體2穿經入口 6而被至於基
A7 _______B7 五、發明説明(丨3 ) 座8上時,感測機構9將偵測载體2的放置狀態。其次,開啟 載體2的外蓋,且感測構件9將偵測載體2中之半導體晶圓〜 的位置及數目。其次,再次關閉載體2的外蓋,並以載體傳 輸構件12將載體2傳輸至靜置位置1〇。 其-人’儲存在靜置位置丨〇的載體2係在適當時間以載體 ‘傳輸構件12而被傳輪至載體放置部份11±。在載體放置部 份11上之載體2的外蓋以及隔間5的開口 13的門14被開啟 後,傳輸構件22將由載體2取出晶圓冒。其次,傳輸構件22 將藉由凹槽對齊構件丨5將其連續傳輸至置於晶舟放置部份 19之第一放置部份19a上的空白晶舟3。當半導體晶圓w被 傳輸時,晶舟傳輸構件21被降低,而由傳輸構件22排出, 故可避免晶舟傳輸構件21與傳輸構件22間的干擾。當凹槽 對齊構件15被安置於載體放置部份n的中心線16上時,垂 直熱處理單元的寬度可被縮減,故可減小該處理單元。此 外’因為傳輸半導體晶圓的時間可被縮減,所以處理單元 的產能可被充分地改良。 在完成半導體晶圓W的傳輸後,傳輸構件22係以旋轉 臂23構件橫向地由作業位置a伸縮至外罩1的其他區中的 排出位置B。 完成熱處理後’降低外蓋17,而晶舟3係於熱處理後 由爐體4傳輸至負載區Sb。外蓋17同晶舟3卸下後,標門1 8 將立即密閉爐體的開口 4a。因此,僅有微量的熱由爐體4 的開口 4a漏至負載區Sb,故熱對於負載區处中的設備少 有影響。如第6圖所示,在熱處理後而將晶舟3由爐體4傳 本紙張尺度適用中國國家標準(Q^) A4規格(2】0X297公釐) -16 - (請先閲讀背面之注意事項再填寫本頁) 奉 、T- 五、發明説明(Η ) f後’該晶舟傳輸構件21將於熱處理前,將另-個待置於 第a放置礼19a上的晶舟3傳輸至第二放置部份⑽(①)。 -人在熱處理後之晶舟傳輸構件21將晶舟3由第一外罢Η 傳輸至第一放置部份(②)。其次’在熱處理前之晶舟:輸 構件21將放置於第二放置部份㈣上的晶舟3傳輸至外蓋P ‘上=)°亦即晶舟傳輸構件21傳輸該晶舟的方式為,熱處 理刖的晶舟3從未穿過熱處理後的其他晶舟3下方。因此, 當晶舟3被傳輸時,熱處理前之晶舟3中的半導體晶圓冒可 被避免為熱處理後之晶舟3的微粒或氣體所污染。在熱處理 後的晶舟由爐體被傳輸出的當時,熱處理前的晶舟3距離熱 處理後的其他晶舟3相當的遠。因此,熱處理後的晶舟靖 於熱處理前的其他晶舟3影響有限。 被傳輸之晶舟3與傳輸構件22可有效地被避免彼此干 擾,因為後者並非向上升起而係由晶舟傳輸構件21橫向地 伸縮。水平地旋轉而開啟及密閉的槽門〗8以及傳輸構件 亦可避免彼此干擾,因為後者並非向上升起而係橫向移動。 在熱處理箣的晶舟3被傳輸至外蓋17上後,晶舟3及外蓋17 將穿經擒門1 8被開啟之開口 4a而被導入爐體4。下一個熱 處理係於晶舟3中的半導體晶圓w上進行。另一方面,在 熱處理後的晶舟3被傳輸至第一放置部份19a上後,晶舟3 中之經處理的半導體晶圓W將以傳輸構件22而由晶舟3被 回傳至載體放置部份Π上的空載體2。其次,重複上述的 循環。 本發明的另一個實施例現將參考第7至丨〇圖而被更詳 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
:攀…· (請先閲讀背面之注意事項再填窝本頁) 、可丨 :線, 514956 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(b) 細地說明。第7圖係為本發明之垂直熱處理單元的另一個 實施例的示意透視圖。為便於說明,該處理單元的外部及 内壁並未示於第7圖中。一晶舟傳輸構件1〇5包含有··_第 一旋轉臂151,用於將晶舟142由第一放置位置傳輸至晶舟 升降機141上;一第二旋轉臂M2,用於將晶舟142由晶舟 升降機141傳輸至第二放置位置上;以及一晶舟移動構件 153,用於將晶舟142由第二放置位置傳輸至第一放置位置 上。 該晶舟移動構件153包含有:晶舟142放置於其上的一 晶舟座154 ; —導執155,用於導引第一放置部份與第二玫 置部份間的晶舟座154 ;以及驅動構件(未示於圖中),用 於驅動晶舟座154。 第一旋轉臂151與第二旋轉臂152的各基部係旋轉地固 著於各旋轉中心軸156或157。如第9圖所示,一闊環部份147 係汉於晶舟142的底部。一環形凸出物147a係設於闊環部 份147的下表面,用於將熱柱i4la固定於晶舟升降機141上 。第一旋轉臂151與第二旋轉臂152的各自由端具有用於避 免環狀凸出物147a干擾的一切除的部份,且被用於支標闊 環部份147的下表面。 如第9圖所示,第一旋轉臂151與第二旋轉臂ι52各具 有一重疊構件,其係由至少二個用以橫向加速置於臂151 或152上之晶舟142的闊環部份147的重疊組件158所組成。 特別地是,該重疊組件158係以密閉開口構件(未示於圖 中)而加速並釋放晶舟142的闊環部份147。利用諸如彈黃 本紙張瓦度適用中國國家標準(CNS)A4規格(210 X 297公釐) 18 -----------a-------^---1 訂---------線 (請先閱讀背面之注音?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 514956 A7 ---------- B7 五、發明說明(16) 或彈性橡膠(未示於圖中)等彈性組件的彈性力,重疊組 件158亦可加速並釋放闊環部份147。因此,在旋轉臂151 或152旋轉時’晶舟142可避免掉落。第9圖中的數字159表 不諸如馬達等驅動構件,其可驅動第一旋轉臂151或第二 旋轉臂152旋轉。 、 在第8圖中,一假設的X軸係相對於傳輸基座102而於 水平方向延伸,而穿過置於晶舟升降機141上之晶舟142的 中心軸A。一假設的Y轴係於垂直X軸的水平方向上延伸 。第一旋轉臂151與第二旋轉臂152的旋轉軸156,157係被 安置於對稱於中心軸八的¥軸上。特別地是,如第8圖所示 ’旋轉軸156,157各被置於負載區1〇4的左右區域。 晶座154的第一放置部份?1及第二放置部份?2係對稱 於X軸而被安置,如第8圖的雙點連線所示。用於製作潔 淨氣流的一過濾單元係被安置於鄰接形成負載區1〇4之第 一放置部份P1的橫壁145。以過濾單元146所製作的潔淨 氣流將穿經負載區104中的第一放置部份ρι而流向第二放 置部份P2。接近形成負載區1〇4之第二放置部份打的橫壁 係用於吸附潔淨氣體。旋轉臂151係置於過濾單元146下方 〇 由於負載區104中之潔淨氣流的緣故,第一放置部份 P1最好被用以將晶舟裝載於爐體14〇中,而第二放置部份 P2最好被用以將晶舟自爐體140卸載。特別地是,半導體 晶圓w係被輸入第一放置部份?1的空晶舟142。其次,晶 舟142被傳輸至晶舟升降機141上,且其係被傳入熱處理 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公爱) 19 ------------------^----^訂---;------線-^1 (請先閱讀背面之注音?事項再填寫本頁) 經濟部智慧財產局員工消費舍作社印製 514956 A7 B7 五、發明說明(17) 140 (裝載)。熱處理後的晶舟142係由晶舟升降機141傳輸 至第二放置部份P2上。經處理的半導體晶圓W係由第二放 置部份P2的晶舟142取出(卸載)。因此,熱處理前的半 導體晶圓W總是曝置於潔淨氣流,而避免為微粒所污染, 故該半導體晶圓W可於表面維持潔淨的狀態下被裝載於熱 處理爐140中。另一方面,熱處理後的晶圓w係於潔淨氣 9 流下方自熱處理爐140卸載。因此,熱處理後的晶圓W可 能為微粒所污染。然而,熱處理後的晶圓W係接著被傳輸 至清洗步驟以及下一個處理步驟,故若微粒黏著於其表面 ,則微粒會在清洗步驟中被移除。 上述的熱處理單元操作如下。首先,一晶圓匣120由 作業員或自動傳輸機械自外界放置於傳輸基座102上。晶 圓匣120被傳輸至支撐基座130,而以晶圓匣傳輸設備103 傳輸晶圓。依據熱處理的進行狀況,晶圓匣120可被暫時 儲存。其次,半導體晶圓W係以晶圓傳輸設備143,自支 撐晶座130上的晶圓匣120取出。半導體晶圓W係以凹槽對 齊設備144對齊,並被傳入第一放置部份P1的晶舟142。 晶舟142係以第一旋轉臂151放置於晶舟升降機141上,並 裝載於熱處理爐140中。 完成熱處理後,晶舟142自熱處理爐140傳出,並以第 二旋轉臂152由晶舟升降機141傳至第二放置部份P2。經 處理的半導體晶圓W係由晶舟142取出,並以晶舟傳輸設 備143傳入支撐基座130上的晶圓匣120。充滿經處理的半 導體晶圓W的晶圓匣120將穿經傳輸基座102被傳輸至外界 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 20 ----------------------"^訂---1------線 (請先閱讀背面之注意事項再填寫本頁) 514956 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(18) 〇 第10A至1 of圖係示意地表示晶舟的流程。在第7至9 圖中,晶舟係以相同的數字142表示,而在第l〇A至1〇1:圖 中,二個晶舟係各以不同的數字148及149表示。此外,在 第10A至10F圖中,W1及W2各表示熱處理前的晶圓及熱處 理後的晶圓。第一放置部份係置於過濾單元146附近。例 如’第一放置部份係表示為第10A圖之晶舟149所佔據的 位置。 雖然該第一晶舟148被裝入熱處理爐140並進行熱處理 ,但熱處理前的晶圓W1將被傳入在第一放置部份之置於 晶舟基座154上的空第二晶舟149中。 在完成第一晶舟148的熱處理後,將談第一晶舟148卸 載並以第二旋轉臂152傳輸至第二放置部份。同時,該第 二晶舟149係以第一旋轉臂151而由第一放置部份傳輸至熱 處理爐140下方的晶舟升降機141 (第10B圖)。其次,曰 舟基座154將空置,並由第一放置部份卩丨移回至第二放置 部份P2,而由第二旋轉臂152收取卸載的第一晶座148。 雖然第二晶舟149被裝入熱處理爐140,並進行熱處理 ’但熱處理後的晶圓W2係由在第二放置部份之置於晶舟 基座154上的第一晶舟148取出。 在第一晶舟148空出後’晶舟基座154將由第二放置部 份P2移至第一晶舟148放置於其上的第一放置部份ρι。雖 然第一晶舟149在熱處理爐140中進行熱處理,但熱處理前 的晶圓wi將被傳入在第一放置部份之置於晶舟基座丨54上 (請先閱讀背面之注意事項再填寫本頁) ----J---!訂------ 線· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 21 514956 經濟部智慧財產局員工消費合作社印製 A7 B7_ 五、發明說明(D) 的第一晶舟148中(第i〇D圖)。 在元成第二晶舟149的熱處理後,該第二晶舟149係被 卸載並以第二旋轉臂152傳輸至第二放置部份。同時,該 第一晶舟148係以第一旋轉臂ι51而由第一放置部份傳輸至 熱處理爐140下方的晶舟升降機14ι (第1〇E圖)。其次, 晶舟基座154將空置,並由第一放置部份ρι移回至第二放 置部份P2,而由第二旋轉臂i52收取卸載的第二晶舟148 〇 雖然第一晶舟148被裝入熱處理爐140,並進行熱處理 ,但熱處理後的晶圓W2係由在第二放置部份之置於晶舟 基座154上的第二晶舟148取出(第i〇f圖)。 在第二晶舟149空出後,晶舟基座154將由第二放置部 份P2移至第二晶舟149放置於其上的第一放置部份pi。其 次,重複第10A至10F圖的循環。 在上述實施例中,旋轉臂151,152的旋轉軸156,157係 對稱於在置於晶舟升降機141上的晶舟142中 心轴A的Y轴 。第一放置部份P1及第二放置部份P2係位於中心軸A的傳 輸基座102側,其對稱於垂直γ軸並穿經中心軸a的X軸。 因此,相對於第一放置部份與第二放置部份被橫向地排列 於負載區104的一側區域中的X軸且僅有一臂傳輸晶舟的 傳統熱處理單元,該熱處理單元的寬度可有效地被減少。 本發明並非僅限於上述實施例,而可於申請專利範圍 的範疇中為各種改良。例如,該基板不僅可為半導體晶圓 ’亦可為玻璃基板或LCD基板。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 22 --I---Γ---*-1 —· I — 1/T- ! J t ·1—一 — i — !· (請先閱讀背面之注意事項再填寫本頁) 514956 A7 B7 五、發明説明(2〇 ) 1…外罩 2…載體 3…晶舟 *4…垂直式熱處理爐 4a、13…開口 5···分隔 6…入口 7…門 8…基座 9…感測構件 10…儲存部份 11···載體放置部份 12…載體傳輸構件 12a、12b···升降臂 12c…傳輸臂 14、17···外蓋 15…凹槽對齊構件 16···中心線 元件標號對照 18···檔門 19···晶舟放置部分 20···換氣單元 21…晶舟傳輸構件 22…傳輸構件 104···負載區 120···晶片匣 140…爐體 141…晶舟升降機 142…晶舟 148…第一晶舟 149…第二晶舟 151…第一旋轉臂 152…第二旋轉臂 153…晶舟移動構件 154.··晶舟座 155…導執 156、157…轉軸 -----------------------裝--------------;-:ΐ-----------------線 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺;t適用中國國家標準(CNS) Α4規格(210X297公釐) 23

Claims (1)

  1. 經濟部智慧財產局員工消費合作社印製 514956 六、申請專利範圍 第88108932號專利再審查案申請專利範圍修正本 • 修正日^月 κ 一種處理單元,其包含:一具有—底部及一設置於^ 底部之開口的垂直熱處理爐;一外蓋,其上可放置在 垂直複層板中之晶舟握持基材,且其可利用置於其上 的晶舟開啟及密閉垂直熱處理爐的開口;一晶舟放置 部份,晶舟及其他晶舟可置於其上;一晶舟傳輸構件 ,用於父替傳輸晶舟放置部份與外蓋間的二個晶舟; 其中: ^ 一擋門,用於在外蓋開啟開口但無晶舟穿經該開 .口時,密閉該垂直熱處理爐的開口。 2·如申請專利第1項之處理單元,其中: 该擋門為可相對於垂直熱處理爐的開口的外表面 垂直及水平地移動的開關,以開啟並密閉該開口。 3·如申請專利範圍第1項之處理單元,其更包含有: 一載體放置部份,一容納基材的載體可被置於其 上;以及 一傳輸構件,用於在置於載體放置部份上的載體 與置於晶舟放置部份上的晶舟間的基材傳輸。 4·如申請專利範圍第3項之處理單元,其中: 该傳輸構件係能伸縮,其方式為在晶舟以晶舟傳 輸構件傳輪時,該傳輸構件不會干擾晶舟。 5·如申請專利範圍第3項之處理單元,其更包含有: 一凹槽對齊構件,其係沿著載體放置部份的垂直 本紙張尺度刺巾™⑽ . ^--------- ^---Γ------AWI (請先閱讀背面之注意事項再填寫本頁)
    中心線安置,以對齊設置在基材上的凹槽。 經¾部智慧財產局員工消費合作社印製
    •如申π專利範圍第1項之處理單元,其中: 該晶舟傳輸構件包含: 第一臂,其具有一旋轉軸及一末端且其可垂直 上升並環繞旋轉軸水平旋轉;以及 -第二臂’其末端被支撐而使第二臂可環繞末端 水平旋轉,且其可垂直握持晶舟並以晶舟中心穿過第 一臂旋轉軸的方式傳輸該晶舟;以及 藉由同步旋轉該第-臂與第二臂,則該晶舟傳輸 構件可相對於第-臂的旋轉輛而以徑向傳輸該晶舟。 7·如申請專利範圍第6項之處理單元,其中: 該傳輸構件最好垂直地移動至晶舟傳輪構件上方。 8·如申請專利範圍第丨項之處理單元,更包含有: 一換氣單元,其係相鄰晶舟放置部份排列,以製 做清淨氣流。 9.如申請專利範圍第8項之處理單元,其中·· 該晶舟放置部份具有一第一放置部份以及一安置 於第一放置部份與外蓋間的第二放置部份;以及 該晶舟傳輸構件可操作而傳輸晶舟,由第一放置 部份至第二放置部份,由第二放置部份至外蓋,及由 外蓋至第一放置部份,該方式係為晶舟相對於換氣單 元所製的清淨氣流係為穿經第二放置部份下風處。 10· 一種用於使用基材處理單元之基材處理法,包含··具 有一底部及設置於底部之開口的一垂直熱處理 一
    本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐 ----------^------- L ^---r------Awl (請先閱讀背面之注意事項再填寫本頁) -25 經濟部智慧財產局員工消費合作社印製
    514956 六、申請專利範圍 外蓋,其中在垂直複層板中之為晶舟所握持的基材可 被置於其上,其可開啟及密閉垂直熱處理爐的開口, 而晶舟係置於其上;一晶舟放置部份,其具有一第一 放置部份以及一安置於第一放置部份與外蓋間的第二 放置邛伤,一擋門,用於在外蓋開啟開口但無晶舟穿 經該開口時,密閉該垂直熱處理爐的開口; 一載體放 置部份,容納基材的載體可置於其上;一傳輸構件, 用於在置於載體放置部份上的載體與置於晶舟放置部 份上的晶舟間傳輸基材;-換氣單^,其相鄰晶舟放 置部份排列’以製做清淨氣流;以及_晶舟傳輸構件 ,其可傳輸晶舟,由第一放置部份至第二放置部份, 由第二放置部份至外蓋,及由外蓋至第一放置部份, 以該方丨,晶舟將順著減單元所製的冑淨氣流而穿 經第二放置部份下風處,該方法包含的步驟有: 以晶舟傳輸構件將一第一晶舟由第一部份傳輸至 第一部份, 以曰曰舟傳輸構件將一第二晶舟由外蓋傳輸至第一 :份:以該方式,該第二晶舟將順著換氣單元所製的 π淨氣流而穿過第二放置部份下風處,以及 以曰曰舟傳輸構件將第-晶舟由第二部份傳輪至 _ *
    本紙張尺度刺t IS國家標準(CNS)A4規格(210 297公釐) -----------裝—.—K 訂—------AW. (請先閱讀背面之注意事項再填寫本頁}
TW088108932A 1998-11-18 1999-05-29 Processing unit and processing method for substrate TW514956B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP32756898A JP3664897B2 (ja) 1998-11-18 1998-11-18 縦型熱処理装置

Publications (1)

Publication Number Publication Date
TW514956B true TW514956B (en) 2002-12-21

Family

ID=18200528

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088108932A TW514956B (en) 1998-11-18 1999-05-29 Processing unit and processing method for substrate

Country Status (4)

Country Link
US (3) US6247245B1 (zh)
JP (1) JP3664897B2 (zh)
KR (1) KR100549786B1 (zh)
TW (1) TW514956B (zh)

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4255091B2 (ja) * 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP4653875B2 (ja) * 2000-07-27 2011-03-16 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
KR100733168B1 (ko) * 2000-08-23 2007-06-28 동경 엘렉트론 주식회사 수직열처리장치와 수직열처리장치의 제어방법
KR100788068B1 (ko) * 2000-08-23 2007-12-21 동경 엘렉트론 주식회사 피처리체의 처리시스템
KR100745867B1 (ko) * 2000-08-23 2007-08-02 동경 엘렉트론 주식회사 수직열처리장치 및 피처리체를 운송하는 방법
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP2002100664A (ja) * 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理方法および装置
TW522127B (en) * 2001-02-21 2003-03-01 Daifuku Kk Cargo storage facility
JP4923361B2 (ja) * 2001-09-04 2012-04-25 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP5031960B2 (ja) * 2001-09-27 2012-09-26 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US6774012B1 (en) * 2002-11-08 2004-08-10 Cypress Semiconductor Corp. Furnace system and method for selectively oxidizing a sidewall surface of a gate conductor by oxidizing a silicon sidewall in lieu of a refractory metal sidewall
JP2005311306A (ja) * 2004-03-25 2005-11-04 Tokyo Electron Ltd 縦型熱処理装置及び被処理体移載方法
JP4358690B2 (ja) 2004-06-30 2009-11-04 東京エレクトロン株式会社 縦型熱処理装置及びその運用方法
EP1803151B1 (en) * 2004-08-23 2011-10-05 Murata Machinery, Ltd. Elevator-based tool loading and buffering system
TWI280220B (en) * 2004-10-25 2007-05-01 Tokyo Electron Ltd Carrying system, substrate treating device, and carrying method
US7771563B2 (en) * 2004-11-18 2010-08-10 Sumitomo Precision Products Co., Ltd. Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
JP2006237559A (ja) * 2005-01-28 2006-09-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4842227B2 (ja) 2006-09-13 2011-12-21 東京エレクトロン株式会社 半導体製造装置における地震被害拡散低減システム
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
US8747052B2 (en) * 2006-11-22 2014-06-10 Beijing Sevenstar Electronics Co., Ltd. Automation for high throughput semiconductor batch-wafer processing equipment
JP4335908B2 (ja) * 2006-12-22 2009-09-30 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理方法
JP4327206B2 (ja) * 2007-01-30 2009-09-09 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理方法
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US8190277B2 (en) 2007-11-30 2012-05-29 Tokyo Electron Limited Method for limiting expansion of earthquake damage and system for limiting expansion of earthquake damage for use in semiconductor manufacturing apparatus
JP5338335B2 (ja) 2008-08-13 2013-11-13 東京エレクトロン株式会社 搬送容器の開閉装置及びプローブ装置
JP5511273B2 (ja) 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012004536A (ja) * 2010-05-20 2012-01-05 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP2011018908A (ja) * 2010-07-15 2011-01-27 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
JP5901978B2 (ja) * 2011-04-11 2016-04-13 株式会社日立国際電気 基板処理装置、基板処理装置制御プログラム、及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6278751B2 (ja) * 2014-03-04 2018-02-14 東京エレクトロン株式会社 搬送方法及び基板処理装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9852936B2 (en) * 2015-01-29 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Load port and method for loading and unloading cassette
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6862163B2 (ja) * 2016-12-09 2021-04-21 東京エレクトロン株式会社 基板処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
KR0147387B1 (ko) * 1990-09-25 1998-11-02 이노우에 다케시 종형 열처리 장치
US5261935A (en) * 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5697749A (en) * 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
TW245823B (zh) * 1992-10-05 1995-04-21 Tokyo Electron Co Ltd
US5514196A (en) * 1993-02-04 1996-05-07 Tokyo Electron Limited Air cleaning apparatus
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5645419A (en) * 1994-03-29 1997-07-08 Tokyo Electron Kabushiki Kaisha Heat treatment method and device
DE59611078D1 (de) * 1995-03-28 2004-10-14 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
JP3654684B2 (ja) * 1995-05-01 2005-06-02 東京エレクトロン株式会社 処理方法及び処理装置
JP3478364B2 (ja) * 1995-06-15 2003-12-15 株式会社日立国際電気 半導体製造装置
JPH09289173A (ja) * 1996-04-19 1997-11-04 Tokyo Electron Ltd 縦型熱処理装置
US5820366A (en) * 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
JP3570827B2 (ja) * 1996-09-13 2004-09-29 東京エレクトロン株式会社 処理装置
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
JP3656701B2 (ja) * 1998-03-23 2005-06-08 東京エレクトロン株式会社 処理装置
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
TW430866B (en) * 1998-11-26 2001-04-21 Tokyo Electron Ltd Thermal treatment apparatus

Also Published As

Publication number Publication date
US6493961B2 (en) 2002-12-17
US20010016307A1 (en) 2001-08-23
US6247245B1 (en) 2001-06-19
JP2000150400A (ja) 2000-05-30
US6327794B2 (en) 2001-12-11
US20020026728A1 (en) 2002-03-07
KR20000034872A (ko) 2000-06-26
JP3664897B2 (ja) 2005-06-29
KR100549786B1 (ko) 2006-02-08

Similar Documents

Publication Publication Date Title
TW514956B (en) Processing unit and processing method for substrate
TW578251B (en) Apparatus and method for conveying substrate
KR100221983B1 (ko) 처리장치
KR100406337B1 (ko) 기판이송및처리시스템
TW537998B (en) System for transporting substrates
TW202036753A (zh) 用於處理基材之基材處理設備
US5788448A (en) Processing apparatus
JP4359640B2 (ja) 基板搬送装置及びダウンフロー制御方法
JP4541232B2 (ja) 処理システム及び処理方法
US5645419A (en) Heat treatment method and device
CN101399180B (zh) 基板处理装置
JP2002501303A (ja) 2ウエハ・ロードロック・ウエハ処理装置ならびにその装填および排出方法
KR20080012116A (ko) 클린 스토커와 물품의 보관방법
JPH07297257A (ja) 処理装置
US6409503B1 (en) Heat treatment method and heat treatment apparatus
JPH0294647A (ja) ウェーハ処理装置
JPH04269825A (ja) 縦型熱処理装置
JPH05201506A (ja) クリーンルーム用保管庫
KR100236272B1 (ko) 클린룸용 보관고
JP2004303835A (ja) 基板保管装置
TWI306641B (zh)
TW200933804A (en) Load port
JP3098547B2 (ja) キャリアストッカ
CN110047791B (zh) 基板处理装置、半导体器件的制造方法以及记录介质
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees