KR20020019414A - 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법 - Google Patents

기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법 Download PDF

Info

Publication number
KR20020019414A
KR20020019414A KR1020010054227A KR20010054227A KR20020019414A KR 20020019414 A KR20020019414 A KR 20020019414A KR 1020010054227 A KR1020010054227 A KR 1020010054227A KR 20010054227 A KR20010054227 A KR 20010054227A KR 20020019414 A KR20020019414 A KR 20020019414A
Authority
KR
South Korea
Prior art keywords
boat
substrate
process tube
wafer
elevator
Prior art date
Application number
KR1020010054227A
Other languages
English (en)
Inventor
마츠나가다츠히사
노토고우이치
Original Assignee
엔도 마코토
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔도 마코토, 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 엔도 마코토
Publication of KR20020019414A publication Critical patent/KR20020019414A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본발명에 따라, 이물질의 발생이나 보트의 전복 사고를 방지할 수 있는 기판 처리 장치(1)가 제공된다.
하우징체(2)내의 중앙부에 설정된 열처리 스테이지(4)의 좌측 전방 측부에는 제 1 후퇴 스테이지(5)가, 후방 측부에는 제 2 후퇴 스테이지(5A)가 설정되어 있다. 열처리 스테이지(4)와 제 1 후퇴 스테이지(5) 사이에는 제 1 보트(30)를 양 스테이지(4, 5) 사이에서 로터리 액츄에이터(24)에 의해 이동시키는 제 1 보트 엘리베이터(20)가 설치되고, 열처리 스테이지(4)와 제 2 후퇴 스테이지(5A) 사이에는 제 2 보트(30A)를 양 스테이지(4, 5A) 사이에서 로터리 액츄에이터(24A)에 의해 이동시키는 제 2 보트 엘리베이터(20A)가 설치되어 있다. 제 1 보트(30)의 처리중에 제 2 보트(30A)는 제 2 보트 엘리베이터(20A)에 의해 제 2 후퇴 스테이지(5A)로 이동되어 후퇴한다.
이와 같은 본발명의 구성에 따르면, 처리 완료된 보트의 열영향으로부터 후퇴시에 다른 보트를 보트 엘리베이터로부터 분리시키지 않아도 무방하므로, 이물질이나 보트의 전복 발생을 방지할 수 있다.

Description

기판 처리 장치 및 기판 처리 장치를 이용한 반도체 디바이스 제조 방법{SUBSTRATE PROCESSING APPARATUS AND METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE BY USING THE SUBSTRATE PROCESSING APPARATUS}
본 발명은 반도체 제조 장치에 관한 것으로서, 특히 처리가 실시되는 기판의 산화나 오염 방지 기술에 관련되고, 예컨대 반도체 장치의 제조 공장에서 반도체 웨이퍼에 어닐링 처리나 산화막 형성 처리, 확산 및 성막처리 등의 열처리를 실시하는 데에 이용하여 유효한 것에 관한 것이다.
일반적으로 반도체 장치의 제조 공장에서 반도체 웨이퍼(이하에 웨이퍼라고 함)에 어닐링 처리나 산화막 형성 처리, 확산 및 성막처리 등의 열처리를 실시함에 있어서 배치식 종형 핫월형 열처리 장치(furnace; 이하에 열처리 장치라고 함)가 널리 사용되어 왔다.
종래의 이러한 종류의 열처리 장치로서, 일본국 특허 제 2681055 호 공보에 기재되어 있는 것이 있다. 이 열처리 장치에 있어서는, 웨이퍼 탑재 이송 장치와 프로세스 튜브의 바로 아래 공간과의 사이에 보트 교환 장치가 배치되어 있다. 또한, 보트 교환 장치의 회전 테이블 위에 한 쌍(2대)의 보트가 탑재되어, 회전 테이블을 중심으로 하여 보트 엘리베이터에 대해 한 쌍의 보트가 180도씩 회전함으로써, 미처리 보트와 처리완료 보트가 교환되도록 되어 있다. 즉, 이 열처리 장치에있어서는, 웨이퍼군을 유지한 한쪽 보트(제 1 보트)가 프로세스 튜브의 처리실에서 처리되는 동안에, 다른쪽 보트(제 2 보트)에 신규의 웨이퍼를 웨이퍼 탑재 이송 장치에 의해서 탑재 이송함으로써, 스루풋을 향상시킨다.
그러나, 일본국 특허 제 2681055 호 공보에 기재되어 있는 것과 같은 열처리 장치에 있어서는, 보트를 교환할 때 이물질이 발생한다고 하는 문제점이 있을뿐 만 아니라, 보트의 교환시나 지진 발생시에 보트가 전복될 위험성이 있다.
한편, 일본국 특개평 9-289173 호 공보에는, 웨이퍼 군을 보유한 제 1 보트를 탑재하여 웨이퍼 탑재 이송 영역과 프로세스 튜브와의 사이에서 이동시키는 제 1 보트 엘리베이터와, 웨이퍼 군을 보유한 제 2 보트를 탑재하여 웨이퍼 탑재 이송 영역과 프로세스 튜브와의 사이에서 이동시키는 제 2 보트 엘리베이터를 구비하고, 일본국 특허 제 2681055 호 공보에 기재되어 있는 것과 같은 보트 엘리베이터에 대한 보트 교환을 실시하지 않고 스루풋을 향상시킬 수 있는 종형 열처리 장치가 기재되어 있다.
일본국 특개평 9-289173 호 공보에 기재되어 있는 종형 열처리 장치에 있어서는, 보트 엘리베이터에 대한 보트의 교환을 행하지 않음으로써 보트의 위치 어긋남의 문제가 없기 때문에 보트의 전복을 방지 할 수 있고, 제 1 보트 엘리베이터의 위치와 제 2 보트 엘리베이터의 위치를 잇는 직선 상에 열처리로의 중심이 있기 때문에, 제 1 보트 엘리베이터와 제 2 보트 엘리베이터 사이의 거리가 각 보트 엘리베이터 아암 (회전 기구)의 약 두 배정도로 크게 되어, 종형 열처리 장치의 가로 폭(개구)의 크기가 증대한다는 문제가 있다. 또한, 제 1 보트 엘리베이터 및 제 2보트 엘리베이터에 의해 프로세스 튜브로부터 각각 떨어진 위치로 이동된 제 1 보트 및 제 2 보트 웨이퍼 군은 웨이퍼 탑재 이송 장치에 의해 이송되기 때문에, 웨이퍼 탑재 이송 장치의 이동 영역이 크게 되어 풋 프린트(점유상 면적)가 크게 된다고 하는 문제점이 있다.
본 발명의 목적은 이물질의 발생이나 전복 사고를 방지할 수 있는 반도체 제조 장치를 제공하는 것에 있다.
본 발명의 다른 목적은 미처리 보트의 처리완료 보트로부터의 열영향을 방지할 수 있는 반도체 제조 장치를 제공하는 것에 있다.
본 발명의 또 다른 목적은 풋 프린트를 억제할 수 있는 반도체 제조 장치를 제공하는 것에 있다.
본 발명의 제 1 실시예에 따르면 복수개의 기판을 처리하기 위한 프로세스 튜브와, 기판을 유지하는 두 개의 보트와, 두 개의 보트 엘리베이터에 있어서, 각 보트 엘리베이터는 하나의 보트를 그 위에 탑재하고, 보트 엘리베이터가 보트를 프로세스 튜브 밑에 위치한 제 1 위치와 두 해당 제 2 위치사이에서 이동시키며 보트를 제 1 위치에서 프로세스 튜브에/로부터 반입 반출하는 두 개의 보트 엘리베이터와,보트가 상기 제 1 위치에 있을 때 기판을 상기 보트에/로부터 반입 반출하기 위한 기판 탑재 이송 장치를 포함하되, 프로세스 튜브의 중심 위치가 기판 탑재 이송 장치와 두 개의 보트 엘리베이터를 이어서 형성된 삼각형의 내부에 위치하는 기판 처리 장치가 제공된다.
상기 제 1 실시예에 따른 반도체 제조 장치에 의하면, 두 개의 보트 엘리베이터의 각각의 위치를 잇는 직선 상에 프로세스 튜브의 중심을 위치시키지 않고 기판 탑재 이송 장치의 위치와 두 개의 보트 엘리베이터의 각각의 위치를 이어서 형성된 삼각형의 내부에 프로세스 튜브의 중심이 위치하도록 기판 탑재 이송 장치 및 두 개의 보트 엘리베이터를 배치한 것이기 때문에, 두 개의 보트 엘리베이터 사이의 간격을 좁게 억제할 수 있어서 반도체 제도 장치의 가로 폭의 크기를 작게 억제할 수 있다. 또한, 기판 탑재 이송 장치가 프로세스 튜브 밑의 보트 반입반출 위치에서 한 쌍의 보트, 즉 두 개의 보트의 어느 것에 대해서도 기판을 탑재 이송할 수 있도록 구성되어 있으므로 열처리 스테이지의 한곳에서 기판을 두 보트의 어느 것에 대해서도 탑재 이송할 수 있기 때문에 기판 탑재 이송 장치의 이동 영역을 작게 억제 할 수 있어서 풋 프린트를 작게 억제할 수 있다.
본 발명의 제 2 실시예에 따르면 복수개의 기판을 처리하기 위한 프로세스 튜브와, 기판을 유지하는 두 개의 보트와, 두 개의 보트 엘리베이터에 있어서, 각 보트 엘리베이터는 하나의 보트를 그 위에 탑재하고, 보트 엘리베이터가 보트를 프로세스 튜브 밑에 위치한 제 1 위치와 두 해당 제 2 위치사이에서 이동시키며 상기보트를 제 1 위치에서 프로세스 튜브에/로부터 반입 반출하는 두 개의 보트 엘리베이터와, 기판을 보트에/로부터 반입 반출하기 위한 기판 탑재 이송 장치를 포함하되, 보트 엘리베이터가 기판 탑재 이송장치와 프로세스 튜브의 중심을 지나는 직선을 기준으로 양쪽에 배치되며 보트 중 하나는 해당 보트 엘리베이터에 의해 제 1 위치로부터 기판 탑재 이송 장치 측으로 이동되고 다른 하나의 보트는 해당 보트엘리베이터에 의해 제 1 위치로부터 기판 탑재 이송 장치의 반대측으로 이동되는 기판 처리 장치가 제공된다.
상기 제 2 실시예에 따른 반도체 제조 장치에 의하면, 두 개의 엘리베이터 가 기판 탑재 이송장치와 프로세스 튜브를 지나는 직선을 기준으로 양쪽에 배치됨과 동시에 한 쪽의 보트 엘리베이터는 하나의 보트를 기판 탑재 이송 장치 측으로 이동시키고 다른 한쪽의 보트 엘리베이터는 해당 보트를 기판 탑재 이송 장치의 반대측으로 이동시키도록 각각 구성됨으로써, 모두 대략 일직선상으로 배치되기 때문에 반도체 제조 장치의 가로 폭의 크기 및 풋 프린트를 더 한층 작게 억제할 수 있다.
상기 제 1 실시예에 따른 반도체 제조 장치 및 상기 제 2 실시예에 따른 반도체 제조 장치에 의하면, 보트 엘리베이터가 보트를 프로세스 튜브의 반입 반출 위치와 다른 위치 사이에서 이동시킬 수 있도록 구성되어 있으므로, 이에 의해 보트를 보트 엘리베이터에 고정하더라도 보트를 프로세스 튜브에 반입 반출할 수 있기 때문에, 처리완료 보트와 미처리 보트의 교환시의 이물질의 발생을 방지할 수 있고, 또한 보트 교환시나 지진 발생시 등의 보트의 전복 사고의 발생을 회피할 수 있다.
본 발명의 제 3 실시예에 따르면, 복수개의 기판을 처리하기 위한 두 개의 프로세스 튜브와, 기판을 유지하는 네 개의 보트와, 네 개의 보트 엘리베이터에 있어서, 각 보트 엘리베이터는 하나의 보트를 하나의 프로세스 튜브에/로부터 반입 반출하고 상기 하나의 보트를 프로세스 튜브 밑에 위치한 두 개의 제 1 위치 중 한곳과 세 개의 해당 제 2 위치 중 한 곳 사이에서 이동시키는 상기 네 개의 보트 엘리베이터와, 기판을 보트에/로부터 반입 반출하기 위한 기판 탑재 이송 장치를 포함하는 기판 처리 장치가 제공된다.
도 1은 본 발명의 제 1 실시예에 따른 CVD 장치를 도시하는 일부를 생략한 개략 평면 단면도,
도 2는 도 1의 Ⅱ-Ⅱ선을 따라 절취한 종단면도,
도 3은 웨이퍼를 처리 중인 본 발명의 제 1 실시예에 따른 CVD 장치를 도시하는 종단면도,
도 4는 웨이퍼 탑재 이송 장치를 도시하는 각 측면도로서, 도 4a는 단축시의 도면, 도 4b는 신장시의 도면,
도 5는 본 발명의 제 1 실시예에 따른 CVD 장치의 제 1 운용 방법을 도시하고 있고, 제 2 보트의 처리 상황을 도시하는 사시도,
도 6은 제 2 보트의 반출 후의 상황을 도시하는 사시도,
도 7은 제 2 보트의 후퇴 후의 상황을 도시하는 사시도,
도 8은 본 발명의 제 1 실시예에 따른 CVD 장치의 제 1 운용 방법에 따라 제 1 보트에의 웨이퍼 탑재 이송 작업을 도시하는 사시도,
도 9는 본 발명의 제 1 실시예에 따른 CVD 장치의 제 2 운용 방법을 도시하고 있고, 제 2 보트의 웨이퍼 반입, 반출 위치의 상부로의 후퇴 상황을 도시하는 사시도,
도 10은 제 1 보트의 반출 후의 상황을 도시하는 사시도,
도 11은 제 1 보트의 웨이퍼 반입, 반출 위치의 상부로의 후퇴 상황을 도시하는 사시도,
도 12는 CVD 장치의 제 2 운용 방법에 따른 제 2 보트의 반출 후의 상황을 도시하는 사시도,
도 13은 본 발명의 제 2 실시예에 따른 CVD 장치를 도시하는 일부를 생략한 개략 평면 단면도,
도 14는 본 발명의 제 3 실시예에 따른 CVD 장치를 도시하는 일부를 생략한 개략 평면 단면도,
도 15는 본 발명의 제 3 실시예에 따른 CVD 장치의 측면 단면도.
<도면의 주요 부분에 대한 부호의 설명>
W : 웨이퍼(기판) 1 : CVD 장치(반도체 제조 장치)
2 : 하우징체 3 : 클린 유닛
4, 4A : 열처리 스테이지 5 : 제 1 후퇴 스테이지
5A : 제 2 후퇴 스테이지 5B : 제 3 후퇴 스테이지
5C : 제 4 후퇴 스테이지 7 : 웨이퍼 로딩 스테이지
8 : 포드 스테이지 9 : 노치 정렬 장치
11 : 프로세스 튜브 11A : 제 2 프로세스 튜브
12 : 처리실 13 : 스로트
14 : 매니폴드 15 : 시일 링
16 : 배기관 17 : 가스 도입관
18 : 히터 유닛 20 : 제 1 보트 엘리베이터
20A : 제 2 보트 엘리베이터 20B : 제 3 보트 엘리베이터
20C : 제 4 보트 엘리베이터 21 : 이송 나사 축
22 : 전동 모터 23, 23A : 승강대
24, 24A : 로터리 액츄에이터 25, 25A : 아암
26, 26A : 캡 27 : 고정부
30 : 제 1 보트 30A : 제 2 보트
30B : 제 3 보트 30C : 제 4 보트
31 : 상측단판 32 : 하측단판
33 : 유지부재 34 : 유지 홈
35 : 단열 캡부 36 : 베이스
40 : 웨이퍼 탑재 이송 장치 41 : 베이스
42 : 로터리 액츄에이터 43 : 제 1 리니어 액츄에이터
44 : 제 2 리니어 액츄에이터 45 : 설치대
46 : 트위저 47 : 엘리베이터
50 : 포드(캐리어) 51 : 도어
60 : 로드 록 챔버 구조 61 : 챔버(로드 록 챔버)
62 : 기밀실 63 : 불활성 가스(질소 가스)
64 : 불활성 가스 공급관 65 : 배기관
66 : 웨이퍼 반입 반출구 67 : 게이트 밸브
이하에 본 발명의 일 실시예를 도 1 내지 15를 참조로 설명한다.
본 실시예에 있어서, 본 발명에 따른 반도체 제조 장치는 배치식 종형 핫월형 열처리 장치(furnace)의 일례인 배치식 종형 핫월형 화학 기상 증착 장치(이하 CVD 장치라고 함)로서 구성되어 있고, 기판으로서의 웨이퍼에 CVD막을 피착하는 데에 사용된다. 또, 이하의 설명에 있어서, 전후좌우는 도 1을 기준으로 한다. 즉, 포드 스테이지(8)측을 전측, 그 반대측을 후측, 클린 유닛(3)측을 좌측, 그 반대측을 우측으로 한다.
도 1에 도시되어 있는 바와 같이, 본 실시예에 따른 CVD 장치(1)는 평면이 직사각형처럼 보이는 대략 직육면체의 상자 형상으로 형성된 하우징체(2)를 구비한다. 하우징체(2)의 좌측 측벽에는 청정 공기를 분출하는 클린 유닛(3)이 2대, 전후에 나란히 설치되어 있고, 전후의 클린 유닛(3, 3)은 청정 공기를 후퇴 스테이지의 각각에 공급하도록 되어 있다.
하우징체(2)의 내부에 있어서의 대략 중앙부에는 열처리 스테이지(4)가 설정되어 있고, 열처리 스테이지(4)의 좌측 전방 측부에는 제 1 후퇴 스테이지(5)가, 열처리 스테이지(4)의 후방 측부에는 제 2 후퇴 스테이지(5A)가 각각 설정되어 있다. 하우징체(2)의 내부에 있어서의 전측 오른쪽 코너부에는 웨이퍼 로딩 스테이지(7)가 설정되어 있고, 그 앞쪽에는 포드 스테이지(8)가 설정되어 있다. 또한, 웨이퍼 로딩 스테이지(7)의 좌측 측부에는 웨이퍼의 노치(도시하지 않음)를 정렬시키는 노치 정렬 장치(9)가 설치되어 있다. 이하, 각 스테이지의 구성을 순서대로 설명한다.
도 2 및 도 3에 도시되어 있는 바와 같이, 열처리 스테이지(4)의 상부에는 석영 유리가 사용되고 하단부가 개구된 원통형상으로 일체 성형된 프로세스 튜브(11)가 중심선이 수직으로 되도록 세로로 설치되어 있다. 프로세스 튜브(11)의 통 중공부는 보트에 의해서 동심원으로 정렬된 상태로 유지된 복수개의 웨이퍼가 반입되는 처리실(12)을 형성하고 있고, 프로세스 튜브(11)의 하단 개구부는 피처리 기판으로서의 웨이퍼를 반입 반출하기 위한 스로트(13)를 구성하고 있다.
프로세스 튜브(11)의 하단면은 매니폴드(14)의 상단면에 실 링(15)을 사이에 끼워서 접촉되고, 매니폴드(14)가 하우징체(2)에 지지됨으로써, 프로세스 튜브(11)는 수직으로 지지된 상태로 되어 있다. 매니폴드(14)의 측벽에는 처리실(12)을 소정의 진공도로 진공 배기하기 위한 배기관(16)과, 처리실(12)에 원료 가스나 질소 가스 등의 가스를 도입하기 위한 가스 도입관(17)이 처리실(12)에 연통하도록 각각 접속되어 있다. 프로세스 튜브(11)의 외부에는 처리실(12)을 가열하기 위한 히터 유닛(18)이 프로세스 튜브(11)를 포위하도록 동심원으로 설비되어 있고, 히터 유닛(18)은 하우징체(2)에 지지됨으로써 수직으로 장착된 상태로 되어 있다.
열처리 스테이지(4)와 제 1 후퇴 스테이지(5) 사이의 후측에는 제 1 보트(30)를 열처리 스테이지(4)와 이와 다른 위치인 제 1 후퇴 스테이지(5) 사이에서 이동시키기 위한 제 1 보트 엘리베이터(20)가 설치되어 있고, 열처리 스테이지(4)와 제 2 후퇴 스테이지(5A) 사이의 후측에는 제 2 보트(30A)를 열처리 스테이지(4)와 이와 다른 위치인 제 2 후퇴 스테이지(5A) 사이에서 이동시키기 위한 제 2 보트 엘리베이터(20A)가 설치되어 있다.
좀더 상세히 설명하면, 한 쌍의 보트 엘리베이터인 제 1 보트 엘리베이터(20) 및 제 2 엘리베이터(20A)는 웨이퍼 로딩 스테이지(7)의 중심(즉, 나중에 설명할 웨이퍼 탑재 이송장치(40)의 중심)과 열처리 스테이지(4)의 중심(즉, 프로세스 튜브(11)의 중심)을 통과하는 직선이 양측에 배치되어 있고, 제 1 보트 엘리베이터(20)는 제 1 보트(30)를 웨이퍼 로딩 스테이지(7) 측으로 이동시키고, 제 2 보트 엘리베이터(20A)는 제 2 보트(30A)를 웨이퍼 로딩 스테이지(7)와 반대측으로 이동시키도록 각각 구성되어 있다.
또한, 웨이퍼 로딩 스테이지(7)와 제 1 보트 엘리베이터(20)와 제 2 보트 엘리베이터(20A)는 웨이퍼 로딩 스테이지(7)의 중심과 제 1 보트 엘리베이터(20)와 제 2 보트 엘리베이터(20A)를 이어서 형성된 삼각형의 내부에 프로세스 튜브(11)의 중심이 위치하도록 배치되어 있다. 또한, 제 1 보트(30)의 중심과 제 2 보트의 중심(30A)의 중심 어느 것도 웨이퍼 로딩 스테이지(7)의 중심과 프로세스 튜브(11)의 중심을 통과하는 직선을 기준으로 어느 한쪽 (본 실시예 에서는 왼쪽)에 위치하고 있다.
여기서, 제 1 보트 엘리베이터(20) 및 제 2 엘리베이터(20A) 및 제 1 보트(30) 및 제 2 보트(30A)의 구성을 설명하겠지만, 제 1 보트 엘리베이터(20)와제 2 보트 엘리베이터(20A)는 원칙적으로 동일하게 구성되어 있고, 제 1 보트(30)와 제 2 보트(30A)는 원칙적으로 동일하게 구성되어 있기 때문에, 도 2 및 도 3에 도시되어 있는 제 1 보트 엘리베이터(20) 및 제 1 보트(30)를 대표로 하여 설명한다.
도 2 및 도 3에 도시되어 있는 바와 같이, 제 1 보트 엘리베이터(20)는 하우징체(2)의 내부의 소정의 위치에 수직으로 세워져 회전 가능하게 지지된 이송 나사축(21)을 구비하고 있고, 이송 나사축(21)은 전동 모터(22)에 의해서 정역 회전되도록 되어 있다. 이송 나사축(21)에는 승강대(23)가 이송 나사축(21)의 회전에 따라 승강하도록 나사 결합되어 있고, 승강대(23)에는 아암(25)을 수평면내에서 왕복 회전시키는 로터리 액츄에이터(24)가 수평으로 장착되어 있다. 아암(25)에는 제 1 보트(30)를 수직으로 세운 상태로 지지하는 캡(26)이 수평으로 고정되어 있고, 캡(26)에는 제 1 보트(30)를 고정하기 위한 고정부(27)가 마련되어 있다. 고정부(27)는 제 1 보트(30)를 고정할 수 있고, 제 1 보트(30)를 세정하거나 유지하는 경우에는 제 1 보트(30)의 고정을 해제할 수 있도록 구성되어 있다.
도 2 및 도 3에 도시되어 있는 바와 같이, 제 1 보트(30)는 상측단판(31) 및 하측단판(32)과, 양 단판(31과 32) 사이에 가설되어 수직으로 배치된 복수개(본 실시예에서는 3개)의 유지부재(33)를 구비하고 있고, 각 유지부재(33)에는 유지 홈(34)이 복수개(통상적인 예, 100개 내지 200개), 길이방향으로 등 간격으로 배치되어 서로 동일 평면내에 있어서 개구되도록 각각 형성되어 있다.
웨이퍼(W)는 그 외주변부가 각 유지 홈(34) 사이에 삽입되어 수평 그리고 서로 중심이 일치된 상태로 정렬되어 유지된다. 제 1 보트(30)의 하측단판(32) 아래에는 외형이 원주형상인 단열 캡부(35)가 형성되어 있고, 단열 캡부(35)의 하면에는 원판형상의 베이스(36)가 돌출되어 있다. 베이스(36)는 제 1 보트 엘리베이터(20)의 캡(26)의 상면에 접촉된 상태로, 고정부(27)에 의해 고정되도록 되어 있다.
도 1에 도시되어 있는 바와 같이, 웨이퍼 로딩 스테이지(7)에는 웨이퍼 탑재 이송 장치(40)가 설치되어 있다. 웨이퍼 탑재 이송 장치(40)는 웨이퍼(W)를 포드 스테이지(8)와 노치 정렬 장치(9)와 열처리 스테이지(4) 사이에서 반송하여, 포드(50)와 노치 정렬 장치(9)와 보트(30, 30A) 사이에서 탑재 이송하도록 구성되어 있다.
즉, 도 4a와 도 4b에 도시되어 있는 바와 같이, 웨이퍼 탑재 이송 장치(40)는 베이스(41)를 구비하고 있고, 베이스(41) 위에는 로터리 액츄에이터(42)가 수평으로 설치되어 있다. 로터리 액츄에이터(42) 위에는 제 1 리니어 액츄에이터(43)가 수평으로 설치되어 있고, 로터리 액츄에이터(42)는 제 1 리니어 액츄에이터(43)를 수평면내에서 선회시키도록 구성되어 있다. 제 1 리니어 액츄에이터(43) 위에는 제 2 리니어 액츄에이터(44)가 설치되어 있고, 제 1 리니어 액츄에이터(43)는 제 2 리니어 액츄에이터(44)를 왕복 이동시키도록 구성되어 있다. 제 2 리니어 액츄에이터(44) 위에는 설치대(45)가 수평으로 설치되어 있고, 제 2 리니어 액츄에이터(44)는 설치대(45)를 왕복 이동시키도록 구성되어 있다. 설치대(45)의 일측면에는 웨이퍼(W)를 아래로부터 지지하는 트위저(46)가 복수개(본 실시예에 있어서는 5개), 상하 방향에 등 간격으로 배치되어 수평으로 장착되어 있다. 웨이퍼 탑재 이송 장치(40)는 이송 나사 기구에 의해서 구성된 엘리베이터(47)에 의해 승강되도록 되어 있다.
포드 스테이지(8)에는 웨이퍼(W)를 반송하기 위한 캐리어(수납 용기)로서의 FOUP(front opening unified pod; 이하에 포드라고 함)(50)가 1대씩 탑재되도록 되어 있다. 포드(50)는 1개의 면이 개구된 대략 정육면체의 상자형상으로 형성되어 있고, 개구부에는 도어(51)가 탈착 가능하게 장착되어 있다. 웨이퍼의 캐리어로서 포드가 사용되는 경우에는, 웨이퍼가 밀폐된 상태로 반송되도록 되기 때문에, 주위의 분위기에 이물질 등이 존재하고 있었다라고 하더라도 웨이퍼의 청정도는 유지할 수 있다. 따라서, CVD 장치가 설치되는 클린 룸(청정실) 내의 청정도를 그다지 높게 설정할 필요가 없어지기 때문에, 클린 룸에 소요되는 비용을 저감할 수 있다. 그러므로, 본 실시예에 따른 CVD 장치(1)에 있어서는, 웨이퍼의 캐리어로서 포드(50)가 사용된다. 또, 포드 스테이지(8)에는 포드(50)의 도어(51)를 탈착함으로써 포드(50)를 개폐하는 포드 오프너(도시하지 않음)가 설치되어 있다.
다음에, 상기 실시예에 따른 CVD 장치의 제 1 운용 방법을 도 5 내지 도 8에 따라 설명한다.
도 5에 도시되어 있는 바와 같이, 제 2 보트 엘리베이터(20A)에 지지된 제 2 보트(30A)가 프로세스 튜브(11)의 처리실(12)에 반입되어 처리가 실시되는 상태에 있어서, 제 1 보트 엘리베이터(20)에 지지된 제 1 보트(30)는 제 1 후퇴 스테이지(5)에 후퇴되어 있다.
소정의 처리가 종료되면, 도 6에 도시되어 있는 바와 같이, 제 2 보트(30A)를 지지한 캡(26A)이 제 2 보트 엘리베이터(20A)에 의해서 하강됨으로써, 제 2 보트(30A)가 프로세스 튜브(11)의 처리실(12)로부터 반출(언로딩)된다. 제 2 보트(30A)가 프로세스 튜브(11)의 처리실(12)로부터 반출된 다음, 스로트(13)는 셔터(도시하지 않음)에 의해 폐쇄되고, 처리실(12)의 고온 분위기가 유지되도록 한다. 처리실(12)로부터 반출된 제 2 보트(30A) 및 이것에 유지된 웨이퍼(W)군[이하에 처리완료 보트(30A)라고 함]은 고온의 상태로 되어 있다.
도 7에 도시되어 있는 바와 같이, 처리실(12)로부터 반출된 고온 상태의 처리완료 보트(30A)는 프로세스 튜브(11)의 축선상의 열처리 스테이지(4)로부터 제 2 후퇴 스테이지(5A)에 그대로의 상태로 제 2 보트 엘리베이터(20A)의 로터리 액츄에이터(24A)에 의해 후퇴된다. 제 2 후퇴 스테이지(5A)는 클린 유닛(3)의 청정 공기의 분출구 근방에 설정되어 있기 때문에, 제 2 후퇴 스테이지(5A)에 후퇴된 고온 상태의 처리완료 보트(30A)는 클린 유닛(3)으로부터 분출되는 청정 공기에 의해서 매우 효과적으로 냉각된다.
처리완료 보트(30A)가 제 2 후퇴 스테이지(5A)에 제 2 보트 엘리베이터(20A)에 의해서 후퇴되면, 도 8에 도시되어 있는 바와 같이 제 1 후퇴 스테이지(5)의 제 1 보트(30)가 열처리 스테이지(4)에 공백의 상태로 제 1 보트 엘리베이터(20)의 로터리 액츄에이터(24)에 의해서 이동된다. 공백의 제 1 보트(30)가 열처리 스테이지(4)에 이동되면, 포드(50)의 웨이퍼(W)가 공백의 제 1 보트(30)에 웨이퍼 탑재 이송 장치(40)에 의해서 탑재 이송되어 간다. 이 때, 처리완료 보트(30A)는 제 2후퇴 스테이지(5A)에 후퇴되어 있는 상태에서, 클린 유닛(3)에 의해 충분히 냉각되어 있기 때문에, 웨이퍼(W)는 열처리 스테이지(4)에서 공백의 제 1 보트(30)에 탑재 이송중인 처리완료 보트(30A)의 열영향을 받지 않는다.
도 8에 도시되어 있는 바와 같이, 웨이퍼 탑재 이송 장치(40)에 의해서 웨이퍼(W)가 보트 탑재 이송될 때에는, 포드 스테이지(8)에 공급된 포드(50)는 도어(51)를 포드 오프너(도시하지 않음)에 의해 해제하여 개방된다. 포드(50)가 개방되면, 웨이퍼 탑재 이송 장치(40)는 포드(50)의 웨이퍼(W)를 열처리 스테이지(4)의 공백의 제 1 보트(30)에 노치 정렬 장치(9)를 경유하여 탑재 이송한다.
즉, 도 4b에 도시되어 있는 바와 같이, 제 2 리니어 액츄에이터(44) 및 설치대(45)가 포드(50)의 방향으로 이동되어 트위저(46)가 포드(50)에 삽입되고, 트위저(46)에 의해서 포드(50)내의 웨이퍼(W)를 수취한 후에, 도 4a에 도시된 위치에 후퇴된다. 이 상태에서, 로터리 액츄에이터(42)가 약 90도 회전 작동하고, 계속해서 제 2 리니어 액츄에이터(44) 및 설치대(45)가 노치 정렬 장치(9)의 방향으로 이동되어, 트위저(46)의 웨이퍼(W)를 노치 정렬 장치(9)에 엘리베이터(47)의 작동에 의해 로딩된다.
웨이퍼(W)의 노치 정렬이 종료되면, 웨이퍼 탑재 이송 장치(40)는 트위저(46)에 의해서 노치 정렬 장치(9)로부터 웨이퍼(W)를 수취한 후에, 트위저(46)를 도 4a에 도시된 위치에 후퇴시킨다. 이 상태에서, 로터리 액츄에이터(42)가 약 90도 회전 작동하고, 계속해서 제 2 리니어 액츄에이터(44) 및설치대(45)가 열처리 스테이지(4)의 방향으로 이동되어 트위저(46) 위의 웨이퍼(W)를 보트(30)의 유지 홈(34)에 탑재 이송한다. 웨이퍼(W)를 보트(30)에 탑재 이송한 웨이퍼 탑재 이송 장치(40)는 제 2 리니어 액츄에이터(44) 및 설치대(45)를 한번 후퇴시킨 후에, 로터리 액츄에이터(42)를 약 180도 회전 작동시켜, 트위저(46)를 포드(50)측을 향한 도 4a의 상태로 된다.
이 때, 웨이퍼 탑재 이송 장치(40)는 5개의 트위저(46)를 구비하고 있기 때문에, 일회의 탑재 이송 작동에 의해서 5개의 웨이퍼(W)를 포드(50)의 5단의 유지 홈으로부터 보트(30)의 5단의 유지 홈(34)에 탑재 이송할 수 있다. 여기서, 보트(30)가 배치 처리하는 웨이퍼(W)의 개수(예컨대 100 내지 200개)는 1대의 포드(50)에 수납된 웨이퍼(W)의 개수(예컨대 25개)보다 많기 때문에, 웨이퍼 탑재 이송 장치(40)는 복수대의 포드(50)로부터 소정 개수의 웨이퍼(W)를 엘리베이터(47)에 의해 승강시켜 보트(30)에 탑재 이송하게 된다. 또, 웨이퍼(W)의 노치 정렬이 미리 확보되어 있는 경우에는, 웨이퍼 탑재 이송 장치(40)는 웨이퍼(W)를 노치 정렬 장치(9)를 경유하지 않고서 포드(50)로부터 보트(30)에 직접 탑재 이송하게 된다.
미리 지정된 개수의 웨이퍼(W)가 제 1 보트(30)에 탑재 이송되면, 도 3에 도시되어 있는 바와 같이, 제 1 보트(30)는 제 1 보트 엘리베이터(20)에 의해 상승되어 프로세스 튜브(11)의 처리실(12)에 반입(로딩)된다. 제 1 보트(30)가 상한에 도달하면, 캡(26)의 상면의 외주변부가 매니폴드(14)의 하면에 시일 링(15)을 사이에 끼워서 착석한 상태로 되어 매니폴드(14)의 하단 개구부를 밀봉상태로 폐색하기때문에, 처리실(12)은 기밀하게 폐쇄된 상태로 된다.
처리실(12)이 캡(26)에 의해서 기밀하게 폐쇄된 상태로, 처리실(12)이 소정의 진공도로 배기관(16)에 의해 진공 배기되고, 히터 유닛(18)에 의해서 소정의 처리 온도(예컨대 800 내지 1000℃)로 가열되어, 소정의 유량의 처리 가스가 처리실(12)에 가스 도입관(17)에 의해서 공급된다. 이에 의해, 웨이퍼(W)에는 CVD 반응에 의해 CVD막이 형성된다.
프로세스 튜브(11)의 처리실(12)에 있어서 제 1 보트(30)에 대한 처리가 실시되고 있는 동안에, 제 2 후퇴 스테이지(5A)에 후퇴되어 있는 처리완료 보트(30A)가 그대로의 상태로 제 2 보트 엘리베이터(20A)의 로터리 액츄에이터(24A)에 의해서 열처리 스테이지(4)로 이동된다. 이 때, 처리완료 보트(30A)는 충분히 냉각되어, 예컨대 150℃ 이하로 되어 있다. 또한, 열처리 스테이지(4)에 이동된 처리완료 보트(30A)의 3개의 유지부재(33)는 웨이퍼 탑재 이송 장치(40)측에 개방한 상태로 되어 있다.
처리완료 보트(30A)가 제 2 보트 엘리베이터(20A)에 의해 열처리 스테이지(4)로 이동되면, 웨이퍼 탑재 이송 장치(40)는 도 4에 대하여 전술한 작동에 준하여, 열처리 스테이지(4)의 처리완료 보트(30A)로부터 웨이퍼(W)를 수취하여 포드 스테이지(8)의 공백의 포드(50)에 탑재 이송하여 간다. 이 때, 처리완료 보트(30A)에서 배치 처리된 웨이퍼(W)의 개수는 1대의 포드(50)에 수납되는 웨이퍼(W)의 개수보다 많기 때문에, 웨이퍼 탑재 이송 장치(40)는 엘리베이터(47)에 의해서 승강되면서, 포드 스테이지(8)로 교체되어 반입되는 복수대의 포드(50)에 웨이퍼(W)를 소정 개수씩 수납해 간다. 또, 소정 개수의 웨이퍼(W)가 수납된 포드(50)는 포드 스테이지(8)로부터 반출되어, 다른 장소에 반송되어 간다.
처리완료 웨이퍼(W)의 포드(50)로의 탑재 이송이 완료되어 공백으로 된 제 2 보트(30A)는 제 2 보트 엘리베이터(20A)에 의해서 제 2 후퇴 스테이지(5A)에 이동되어, 다음 작동을 대기한다.
한편, 제 1 보트(30)에 대하여 미리 설정된 처리 시간이 경과하면, 제 1 보트(30)를 지지한 캡(26)이 제 1 보트 엘리베이터(20)에 의해 하강됨으로써, 제 1 보트(30)가 프로세스 튜브(11)의 처리실(12)로부터 반출(언로딩)된다. 제 1 보트(30)가 반출된 프로세스 튜브(11)의 처리실(12)의 스로트(13)는 셔터(도시하지 않음)에 의해서 폐쇄되고, 처리실(12)의 고온 분위기가 유지되도록 한다. 처리실(12)로부터 반출된 제 1 보트(30) 및 이것에 유지된 웨이퍼(W)군은 고온의 상태로 되어 있다.
전술한 제 2 보트(30A)와 마찬가지로, 처리실(12)로부터 반출된 고온 상태의 처리완료 제 1 보트(30)는 프로세스 튜브(11)의 축선상의 열처리 스테이지(4)로부터 제 1 후퇴 스테이지(5)에 그대로의 상태로 제 1 보트 엘리베이터(20)의 로터리 액츄에이터(24)에 의해서 후퇴된다. 제 1 후퇴 스테이지(5)도 클린 유닛(3)의 청정 공기의 분출구 근방에 설정되어 있기 때문에, 제 1 후퇴 스테이지(5)에 후퇴된 고온 상태의 처리완료 제 1 보트(30)는 클린 유닛(3)으로부터 분출되는 청정 공기에 의해서 매우 효과적으로 냉각된다.
이후에 전술한 운용이 반복되어 웨이퍼(W)가 CVD 장치(1)에 의해서 배치 처리되어 간다. 또한, 상기 실시예에 있어서는, 한쪽 보트를 처리하고, 그 보트를 처리실로부터 반출하여 후퇴 스테이지에 후퇴한 후에, 다른쪽 보트에 다음에 처리할 웨이퍼를 탑재 이송하도록 하지만, 예컨대 열영향이 그다지 문제되지 않는 막종(膜種)을 취급하는 경우에는, 한쪽 보트에서의 처리중에 다른쪽 보트에 다음번에 처리할 웨이퍼를 탑재 이송하도록 하여도 무방하다.
상기 실시예에 의하면, 다음과 같은 효과가 얻어진다.
(1) 2대의 보트를 사용함으로써, 한쪽 보트에서의 처리중에 다른쪽 보트에 대한 웨이퍼의 탑재 이송 작업을 동시 진행시킬 수 있기 때문에, CVD 장치의 스루풋을 높일 수 있다.
(2) 2대의 보트를 프로세스 튜브에 각각 반입 반출하는 2대의 보트 엘리베이터는 보트를 열처리 스테이지와 후퇴 스테이지 사이를 이동시키도록 각각 구성함으로써, 프로세스 튜브에 의해서 두 대, 즉 두 개의 보트를 교대로 사용하여 처리함에 있어서, 보트를 보트 엘리베이터로부터 탈착하지 않아도 무방하므로, 두 대의 보트 상호의 교환 작업을 연속적으로 신속하게 실행할 수 있을 뿐만 아니라, 웨이퍼의 위치 어긋남을 방지할 수 있음과 동시에, 이물질의 발생을 방지할 수 있다.
(3) 보트 엘리베이터의 승강대에 탑재한 로터리 액츄에이터의 아암에 의해서 보트를 열처리 스테이지와 후퇴 스테이지 사이를 이동시키도록 각각 구성함으로써, 간단한 구조로서 2대의 보트를 2대의 보트 엘리베이터에 의해서 독립적으로 이동시킬 수 있기 때문에, CVD 장치의 제조 비용의 증가를 억제할 수 있다.
(4) 보트를 보트 엘리베이터의 아암에 지지된 캡에 고정함으로써, 지진 등에의한 보트의 전복 사고를 미연에 방지할 수 있기 때문에, 전복 사고에 의한 보트 및 웨이퍼의 손상의 발생을 방지할 수 있다.
(5) 제 1 후퇴 스테이지 및 제 2 후퇴 스테이지와 열처리 스테이지와의 사이에서 처리완료 보트 및 공백의 보트의 교체 이송이 실행된다. 따라서, 제 1 보트 엘리베이터의 아암 및 제 2 보트 엘리베이터의 아암의 회전 반경을 작게 설정할 수 있기 때문에, CVD 장치의 하우징체의 좌우(개구) 및 전후(내측)의 치수를 작게 설정할 수 있다.
(6) 하우징체(2)의 용적을 작게 억제함으로써, 클린 유닛의 청정 공기의 공급량 등을 작게 설정할 수 있기 때문에, CVD 장치의 초기 비용(초기 투자액) 및 런닝 코스트(운용의 직재비용)를 억제할 수 있고, 상기 (1)과 더불어 코스트 오브 오너쉽(Cost of ovnersip. COO)을 저감할 수 있다. 덧붙여서, COO(원/개)=(초기 투자액+ 직재비용)/원가 상각까지의 웨이퍼 처리 개수이다.
(7) 프로세스 튜브의 처리실로부터 반출되어 고온 상태로 된 처리완료 보트를 프로세스 튜브의 축선상의 스테이지인 열처리 스테이지로부터 떨어진 후퇴 스테이지에 보트 엘리베이터에 의해 즉시 이송하여 후퇴시킴으로써, 고온 상태의 처리완료 보트의 열영향이 열처리 스테이지에서 공백의 보트에 탑재 이송(로딩)되는 신규의 웨이퍼에 미치는 것을 방지할 수 있기 때문에, 이로부터 처리되는 신규의 웨이퍼에 있어서의 처리완료 보트의 열영향에 의한 처리 정밀도의 저하를 미연에 방지할 수 있다.
(8) 이로부터 처리되는 신규의 웨이퍼에 있어서의 처리완료 보트의 열영향을회피함으로써, 대기중인 처리실의 온도를 저하시키지 않을 수 있어서, 대기중의 처리실의 온도를 저하시킴으로써 스루풋이 저하되어 버리는 것을 미연에 회피할 수 있다.
(9) 웨이퍼에 열영향이 미치는 것을 회피함으로써, CVD 장치의 열처리의 정밀도를 높일 수 있음과 동시에, 이런 웨이퍼를 이용하여 제조되는 반도체 장치의 품질 및 신뢰성을 높일 수 있다.
(10) 고온 상태의 처리완료 보트를 대기시키는 제 1 후퇴 스테이지 및 제 2 후퇴 스테이지를 클린 유닛의 청정 공기 분출구를 향하게 함으로써, 고온 상태의 처리완료 보트를 매우 효과적으로 냉각시킬 수 있기 때문에, 냉각 시간을 단축할 수 있다.
(11) 웨이퍼 탑재 이송 장치는 프로세스 튜브 밑의 보트 반입반출 위치인 열처리 스테이지에서 제 1 보트 및 제 2 보트의 어느 것에 대해서도 웨이퍼를 탑재 이송할 수 있도록 구성되어 있으므로 열처리 스테이지의 한곳에서 웨이퍼를 제 1 보트 및 제 2 보트의 어느 것에 대해서도 탑재 이송할 수 있기 때문에 웨이퍼 탑재 이송 장치의 이동 영역을 작게 억제 할 수 있어서 풋 프린트를 작게 억제할 수 있다.
(12) 제 1 보트 엘리베이터 및 제 2 보트 엘리베이터를 웨이퍼 탑재 이송장치와 프로세스 튜브를 지나는 직선을 기준으로 양쪽에 배치함과 동시에 제 1 보트 엘리베이터는 제 1 보트를 웨이퍼 탑재 이송 장치 측으로 이동시키고 제 2 보트 엘리베이터는 제 2 보트를 웨이퍼 탑재 이송 장치의 반대측으로 이동시키도록 각각구성함으로써, 모두 대략 일직선상으로 배치시킬 수 있기 때문에 풋 프린트를 더 한층 작게 억제할 수 있다.
(13) 웨이퍼 탑재 이송 장치의 위치와 제 1 보트 엘리베이터와 제 2 보트 엘리베이터를 이어서 형성된 삼각형의 내부에 프로세스 튜브의 중심이 위치하도록 웨이퍼 탑재 이송 장치의 위치와 제 1 보트 엘리베이터와 제 2 보트 엘리베이터를 배치함으로써 제 1 보트 엘리베이터와 제 2 보트 엘리베이터 사이의 간격을 좁게 억제할 수 있기 때문에, CVD 장치의 가로 폭의 크기를 작게 억제할 수 있다.
(14) 프로세스 튜브 밑의 보트 반입반출 위치에서 제 1 보트 및 제 2 보트의 어느 것에 대해서도 웨이퍼를 탑재 이송할 수 있도록 웨이퍼 탑재 이송 장치가 구성되어 있으므로 열처리 스테이지의 한곳에서 웨이퍼를 제 1 보트 및 제 2 보트의 어느 것에 대해서도 탑재 이송할 수 있기 때문에 웨이퍼 탑재 이송 장치의 이동 영역을 작게 억제 할 수 있어서 풋 프린트를 작게 억제할 수 있다.
(15) 웨이퍼 탑재 이송 장치와 프로세스 튜브를 지나가는 직선을 기준으로 그 한쪽편(좌측)에 제 1 보트의 중심 및 제 2 보트의 중심을 위치시킴에 의해, 제 1 보트 엘리베이터와 제 2 보트 엘리베이터 사이의 간격을 좁게 설정할 수 있기 때문에 CVD 장치의 가로 폭의 크기를 작게 억제할 수 있다.
도 9 내지 도 12는 본 발명의 다른 실시예에 따른 CVD 장치의 제 2 운용 방법을 나타내는 각 일부를 생략한 사시도이다.
본 실시예에 따른 CVD 장치의 운용 방법이 상기 실시예에 따른 CVD 장치의 운용 방법과 상이한 점은 한쪽 보트의 처리중에 다른쪽 보트로 웨이퍼를 탑재 이송하고, 그 후에 이 탑재 이송완료된 보트를 후퇴 스테이지의 상부에 후퇴시켜 놓음으로써, 신규의 웨이퍼에 대한 처리완료 보트의 열영향을 보다 한층 확실히 방지하도록 고안한 점이다.
즉, 도 9에 도시되어 있는 바와 같이, 제 1 보트 엘리베이터(20)에 지지된 제 1 보트(30)가 프로세스 튜브(11)의 처리실(12)에 있어서 처리되는 동안에, 제 2 보트 엘리베이터(20A)에 지지된 제 2 보트(30A)에는 다음에 처리할 신규의 웨이퍼(W)가 열처리 스테이지(4)에서 탑재 이송된다. 이 신규의 웨이퍼(W)를 유지한, 즉 수용한, 제 2 보트(20A)는 로터리 액츄에이터(24A)에 의해서 열처리 스테이지(4)로부터 제 2 후퇴 스테이지(5A)에 이동되고, 또한 제 2 보트 엘리베이터(20A)에 의해서 제 2 후퇴 스테이지(5A)의 상부에 이동되어 후퇴된다.
그 후, 도 10에 도시되어 있는 바와 같이, 처리완료된 제 1 보트(30)는 제 1 보트 엘리베이터(20)에 의해 하강된다. 이 때, 신규의 웨이퍼(W)를 유지한 제 2 보트(30A)는 제 2 후퇴 스테이지(5A)의 상부에 후퇴되어 있어서, 열처리 스테이지(4)로부터 충분히 이격되어 있기 때문에, 제 2 보트(20A) 내에 유지된 신규의 웨이퍼(W)는 처리완료된 제 1 보트(30)의 열영향을 확실히 회피할 수 있다.
마찬가지로, 도 11에 도시되어 있는 바와 같이, 제 2 보트 엘리베이터(20A)에 지지된 제 2 보트(30A)가 프로세스 튜브(11)의 처리실(12)에서 처리되는 동안에, 제 1 보트 엘리베이터(20)에 지지된 제 1 보트(30)에는 다음에 처리할 신규의 웨이퍼(W)가 열처리 스테이지(4)에 있어서 탑재 이송되고, 이 신규의 웨이퍼(W)를 유지한 제 1 보트(30)는 로터리 액츄에이터(24)에 의해서 열처리 스테이지(4)로부터 제 1 후퇴 스테이지(5)에 이동되고, 또한 제 1 보트 엘리베이터(20)에 의해서 제 1 후퇴 스테이지(5)의 상부에 이동되어 후퇴된다.
그 후, 도 12에 도시되어 있는 바와 같이 처리완료된 제 2 보트(30A)는 제 2 보트 엘리베이터(20A)에 의해서 하강된다. 이 때, 신규의 웨이퍼(W)를 유지한 제 1 보트(30)는 제 1 후퇴 스테이지(5)의 상부에 후퇴됨으로써 열처리 스테이지(4)로부터 충분히 이격되어 있기 때문에, 제 1 보트(30) 내에 유지된 신규의 웨이퍼(W)는 처리완료된 제 2 보트(30A)의 열영향을 확실히 회피할 수 있다.
본 실시예에 따른 CVD 장치의 제 2 운용 방법에 의하면, 신규의 웨이퍼에 대한 처리완료 보트의 열영향을 보다 한층 확실히 방지할 수 있다고 하는 효과를 얻을 수 있다.
도 13은 본 발명의 제 2의 실시예에 따른 CVD 장치(1A)를 도시하는 일부를 생략한 평면 단면도이다.
본 발명의 제 2 실시예에 따른 CVD 장치(1A)가 상기 제 1 실시예에 따른 CVD 장치(1)와 상이한 점은 프로세스 튜브(히터 유닛 등을 포함함)가 2기, 보트 엘리베이터 및 보트가 4대씩, 후퇴 스테이지가 3개소에 각각 마련되어 있는 점이다. 이하에 본 실시예에 따른 CVD 장치(1A)를 도 13을 참조로 설명한다. 또, 이하의 설명에 있어서, 도 13의 포드 스테이지(8)측을 전측, 그 반대측을 후측으로 한다.
하우징체(2)의 후측 영역에는 제 1 열처리 스테이지(4)와 제 2 열처리 스테이지(4A)가 좌우 대칭형으로 설정되어 있고, 좌측의 제 1 열처리 스테이지(4)에는 제 1 프로세스 튜브(11)가 설치되고, 우측의 제 2 열처리 스테이지(4A)에는 제 2프로세스 튜브(11A)가 설치되어 있다.
좌측의 제 1 프로세스 튜브(11)에 대해서는 제 1 보트(30)를 이동시키는 제 1 보트 엘리베이터(20)와, 제 2 보트(30A)를 이동시키는 제 2 보트 엘리베이터(20A)가 제 1 열처리 스테이지(4)에 대해 대각선의 위치에 각각 설치되어 있다. 우측의 제 2 프로세스 튜브(11A)에 대해서는 제 3 보트(30B)를 이동시키는 제 3 보트 엘리베이터(20B)와, 제 4 보트(30C)를 이동시키는 제 4 보트 엘리베이터(20C)가 제 2 열처리 스테이지(4A)에 대해 대각선의 위치에 각각 설치되어 있다.
제 1 보트(30)가 후퇴하는 제 1 후퇴 스테이지(5)는 제 1 열처리 스테이지(4)의 전방 측부에 설정되어 있고, 제 2 보트(30A)가 후퇴하는 제 2 후퇴 스테이지(5A)는 제 1 열처리 스테이지(4)의 우측 측부에 설정되어 있다. 제 3 보트(30B)가 후퇴하는 제 3 후퇴 스테이지(5B)는 제 2 열처리 스테이지(4A)의 전방 측부에 설정되어 있고, 제 4 보트(30C)가 후퇴하는 제 4 후퇴 스테이지(5C)는 제 2의 열처리 스테이지(4A)의 좌측 측부에 설정되어 있다.
도 13에 도시되어 있는 바와 같이, 제 2 후퇴 스테이지(5A)와 제 4 후퇴 스테이지(5C)는 제 1 열처리 스테이지(4)와 제 2 열처리 스테이지(4A) 사이에서 공용되도록 되어 있다. 또한, 웨이퍼 로딩 스테이지(7)는 하우징체(2)의 전측 중앙부의 1개소에 설정되어 있고, 이 웨이퍼 로딩 스테이지(7)에 설치된 웨이퍼 탑재 이송 장치(40)는 제 1 열처리 스테이지(4) 및 제 2 열처리 스테이지(4A)와 포드 스테이지(8) 사이에서 웨이퍼(W)를 탑재 이송하도록 구성되어 있다.
따라서, 제 1 보트(30)가 제 1 프로세스 튜브(11)에서 처리될 때, 제 2 보트(30A)는 그 후퇴 스테이지(5A)에 있어야 하고, 제 4 보트(30C)는 제 2 프로세스 튜브(11A) 내에 그리고 제 3 보트(30B)는 그 후퇴 스테이지(5B)에 있어야 한다. 이와 마찬가지로, 제 3 보트(30B)가 제 2 프로세스 튜브(11A)에서 처리될 때, 제 4 보트(30C)는 그 후퇴 스테이지(5C)에 있어야 하고, 제 2 보트(30A)는 제 1 프로세스 튜브(11) 내에 그리고 제 1 보트(30)는 그 후퇴 스테이지(5)에 있어야 한다.
본 실시예에 따른 CVD 장치의 운용 방법은 상기 제 1 실시예에 따른 CVD 장치의 운용 방법에 준한다.
본 발명의 제 2 실시예에 따른 CVD 장치에 있어서는, 상기 제 1 실시예에 따른 CVD 장치의 효과에 부가하여, 다음과 같은 효과가 얻어진다.
(1) 프로세스 튜브를 2기, 보트 엘리베이터 및 보트를 4대씩 마련함으로써, CVD 장치의 스루풋를 보다 한층 높일 수 있다.
(2) 제 2 후퇴 스테이지(5A)와 제 4 후퇴 스테이지(5C)를 제 1 열처리 스테이지(4)와 제 2 열처리 스테이지(4A) 사이에서 공용하도록 구성함으로써, 후퇴 스테이지를 3개소로 감소시킬 수 있기 때문에, 하우징체(2)의 내부 공간을 축소시킬 수 있다.
(3) 웨이퍼 로딩 스테이지(7)를 하우징체(2)의 전측 중앙부의 1개소에 설정하고, 이 웨이퍼 로딩 스테이지(7)에 설치한 웨이퍼 탑재 이송 장치(40)를 제 1 열처리 스테이지(4) 및 제 2 열처리 스테이지(4A)와 포드 스테이지(8) 사이에서 웨이퍼(W)를 탑재 이송하도록 구성함으로써, 제 1 열처리 스테이지(4)와 제 2 열처리스테이지(4A) 사이에서 웨이퍼 탑재 이송 장치(40)을 공용할 수 있기 때문에, 하우징체(2)의 내부 공간을 축소시킬 수 있다. 또한, 웨이퍼 탑재 이송 장치(40)의 설치 대수를 감소시킬 수 있다.
(4) 상기 (2) 및 (3)에 의해, CVD 장치의 초기 비용 및 러닝 코스트를 대폭으로 저감할 수 있기 때문에, COO를 저감할 수 있다.
도 14는 본 발명의 제 3 의 실시예에 따른 CVD 장치(1B)를 나타내는 일부를 생략한 평면 단면도이다. 도 15는 그 측면 단면도이다.
본 발명의 제 3 실시예에 따른 CVD 장치(1B)가 상기 제 1 실시예에 따른 CVD 장치(1)와 상이한 점은 하우징체(2)내에 있어서의 프로세스 튜브(11)의 하부 공간, 제 1 후퇴 스테이지(5) 및 제 2 후퇴 스테이지(5A)의 공간이 로드 록 챔버 구조(60)로 구성되어 있는 점이다. 이하, 로드 록 챔버 구조(60)를 주체로 하여, 본 실시예에 따른 CVD 장치를 설명한다. 이하의 설명에 있어서, 포드 스테이지(8)측을 전측, 그 반대측을 후측, 노치 정렬 장치(9)측을 좌측, 그 반대측을 우측으로 한다.
도 14 및 도 15에 도시되어 있는 바와 같이, 하우징체(2)의 실내의 후측의 프로세스 튜브(11)의 하부 공간에는 기밀실(62)을 구성한 로드 록 챔버(이하에 챔버라고 함)(61)가 설치되어 있다. 또한, 기밀실(62)의 전측 중앙부에는 열처리 스테이지(4)가, 기밀실(62)의 열처리 스테이지(4)의 좌측 후방에는 제 1 후퇴 스테이지(5)가, 마찬가지로 열처리 스테이지(4)의 우측 후방에는 제 2 후퇴 스테이지(5A)가 각각 설정되어 있다.
열처리 스테이지(4)에 대향하는 위치에는 챔버(61)의 천정벽에 있어서의 프로세스 튜브(11)가 기밀실(62)에 연통하도록 설치되어 있다. 열처리 스테이지(4)의 좌측 측부 그리고 제 1 후퇴 스테이지(5)의 전방 측부에는 제 1 보트(30)를 이동시키기 위한 제 1 보트 엘리베이터(20)가 설치되어 있다. 열처리 스테이지(4)의 우측 측부 그리고 제 2 후퇴 스테이지(5A)의 전방 측부에는 제 2 보트(30A)를 이동시키기 위한 제 2 보트 엘리베이터(20A)가 설치되어 있다.
도 15에 도시되어 있는 바와 같이, 챔버(61)의 천장벽에는 질소 가스 등의 불활성 가스(63)를 공급하기 위한 불활성 가스 공급관(64)이 불활성 가스(63)를 기밀실(62)에 공급하도록 접속되어 있고, 챔버(61)의 바닥벽에는 기밀실(62)을 배기하기 위한 배기관(65)이 접속되어 있다. 즉, 챔버(61)의 기밀실(62)은 불활성 가스(63)에 의해 퍼지되도록 되어 있다. 챔버(61)의 정면벽의 상부에는 웨이퍼 반입 반출구(66)가 기밀실(62)과 하우징체(2)의 전측실을 연통시키도록 개설되어 있다. 웨이퍼 반입 반출구(66)에는 웨이퍼 반입 반출구(66)를 적시에 개폐하는 게이트 밸브(67)가 설치되어 있다.
즉, 게이트 밸브(67)가 웨이퍼 반입 반출구(66)를 개방시킨 상태에 있어서, 하우징체(2)의 전측실의 웨이퍼 로딩 스테이지(7)에 설치된 웨이퍼 탑재 이송 장치(40)는 웨이퍼 반입 반출구(66)를 통해서 웨이퍼(W)를 열처리 스테이지(4)에 이송된 제 1 보트(30) 또는 제 2 보트(30A)에 탑재 이송(차지 또는 디스차지)하도록 되어 있다.
상기 제 3 실시예에 따른 CVD 장치(1B)의 운용 방법은 챔버가 불활성 가스에의해서 퍼지되는 점을 제외하고, 상기 제 1 및 제 2 실시예에 따른 CVD 장치(1, 1A)의 운용 방법과 마찬가지다. 즉, 통상시에는 웨이퍼 반입 반출구(66)가 게이트 밸브(67)에 의해서 폐쇄된 상태로, 챔버(61)의 기밀실(62)은 불활성 가스 공급관(64)으로부터 공급되어 배기관(65)으로부터 배기되는 불활성 가스(63)에 의해서 퍼지되어 있다. 그리고, 웨이퍼(W)가 열처리 스테이지(4)에 이송된 제 1 보트(30) 또는 제 2 보트(30A)에 대하여 웨이퍼 탑재 이송 장치(40)에 의해서 탑재 이송될 때에는, 웨이퍼 반입 반출구(66)가 게이트 밸브(67)에 의해서 개방된다.
상기 제 3 실시예에 따르면, 상기 제 1, 제 2 실시예에 따른 CVD 장치(1, 1A)의 효과에 부가하여, 다음과 같은 효과가 얻어진다.
(1) 열처리 스테이지, 제 1 후퇴 스테이지 및 제 2 후퇴 스테이지의 공간을 로드 록 챔버 구조로 구성하여 불활성 가스에 의해서 퍼지함으로써, 열처리후나 열처리전의 웨이퍼가 대기에 접촉하는 것을 방지할 수 있다. 따라서, 대기에 포함되는 산소 및 수분에 의해서 웨이퍼가 자연 산화되어 불필요한 산화막(이하에 자연 산화막이라고 함)이 형성되는 것을 확실히 방지할 수 있다.
(2) 상기 (1)에 의해, CVD 장치의 열처리의 정밀도를 보다 한층 높일 수 있기 때문에, 웨이퍼에 의해서 제조되는 반도체 장치의 품질 및 신뢰성을 보다 한층 높일 수 있다.
(3) 한쪽 보트에 대한 열처리중에 다른쪽 보트에 대한 웨이퍼의 탑재 이송을 동시 진행시킴으로써, 불활성 가스의 퍼지의 치환에 필요한 시간을 단축할 수 있기 때문에, 전체로서의 열처리 시간을 단축할 수 있고, 그 결과 CVD 장치의 성능을 높일 수 있음과 동시에, 러닝 코스트를 저감할 수 있다.
또, 본 발명은 상기 실시예에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 각종으로 변경이 가능한 것은 말할 필요도 없다.
예컨대, 본 실시예에서는 배치식 종형 핫월형 CVD 장치의 경우에 대하여 설명했지만, 본 발명은 이것에 한정되지 않고, 배치식 종형 핫월형 확산 장치 등의 열처리 장치나 그 밖의 반도체 제조 장치 전반에 적용할 수 있다.
상기 실시예에서는 웨이퍼에 열처리가 실시되는 경우에 대하여 설명했지만, 피처리 기판은 핫 마스크나 프린트 배선 기판, 액정 패널, 컴팩트 디스크 및 자기 디스크 등이어도 무방하다.
이상 설명한 바와 같이, 본 발명에 따르면 이물질의 발생이나 전복 사고를 방지할 수 있다.

Claims (12)

  1. 기판 처리 장치에 있어서,
    복수개의 기판을 처리하기 위한 프로세스 튜브와,
    상기 기판을 유지하는 두 개의 보트와,
    두 개의 보트 엘리베이터에 있어서, 각 보트 엘리베이터는 하나의 보트를 그 위에 탑재하고, 상기 보트 엘리베이터가 상기 보트를 상기 프로세스 튜브 밑에 위치한 제 1 위치와 두 해당 제 2 위치사이에서 이동시키며 상기보트를 상기 제 1 위치에서 상기 프로세스 튜브에/로부터 반입 반출하는 상기 두 개의 보트 엘리베이터와,
    상기 보트가 상기 제 1 위치에 있을 때 상기 기판을 상기 보트에/로부터 반입 반출하기 위한 기판 탑재 이송 장치를 포함하되,
    상기 프로세스 튜브의 중심 위치가 상기 기판 탑재 이송 장치와 상기 두 개의 보트 엘리베이터를 이어서 형성된 삼각형의 내부에 위치하는
    기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 제 2 위치에서의 상기 보트의 중심 위치가 상기 기판 탑재 이송 장치와 상기 프로세스 튜브의 중심 위치를 지나는 직선을 기준으로 그 한쪽에 배치되는
    기판 처리 장치.
  3. 제 1 항에 있어서,
    상기 각각의 제 2 위치 앞에 옮겨진 보트에 청정 공기를 공급하기 위해 상기 각각의 제 2 위치 앞에 위치한 클린 유닛을 더 포함하는
    기판 처리 장치.
  4. 기판 처리 장치에 있어서,
    복수개의 기판을 처리하기 위한 프로세스 튜브와,
    상기 기판을 유지하는 두 개의 보트와,
    두 개의 보트 엘리베이터에 있어서, 각 보트 엘리베이터는 하나의 보트를 그 위에 탑재하고, 상기 보트 엘리베이터가 상기 보트를 상기 프로세스 튜브 밑에 위치한 제 1 위치와 두 해당 제 2 위치사이에서 이동시키며 상기보트를 상기 제 1 위치에서 상기 프로세스 튜브에/로부터 반입 반출하는 상기 두 개의 보트 엘리베이터와,
    상기 기판을 상기 보트에/로부터 반입 반출하기 위한 기판 탑재 이송 장치를 포함하되,
    상기 보트 엘리베이터가 상기 기판 탑재 이송장치와 상기 프로세스 튜브의 중심을 지나는 직선을 기준으로 양쪽에 배치되며 상기 보트 중 하나는 해당 보트 엘리베이터에 의해 상기 제 1 위치로부터 상기 기판 탑재 이송 장치 측으로 이동되고 다른 하나의 보트는 해당 보트 엘리베이터에 의해 상기 제 1 위치로부터 상기기판 탑재 이송 장치의 반대측으로 이동되는
    기판 처리 장치.
  5. 제 4 항에 있어서,
    상기 기판이 상기 제 1 위치에 있을 때 상기 보트에/로부터 반입 반출되는
    기판 처리 장치.
  6. 제 4 항에 있어서,
    상기 제 2 위치에서의 상기 보트의 중심 위치가 상기 기판 탑재 이송장치와 상기 프로세스 튜브의 중심을 지나는 직선을 기준으로 한쪽에 배치되는
    기판 처리 장치.
  7. 제 4 항에 있어서,
    상기 각각의 제 2 위치 앞에 옮겨진 보트에 청정 공기를 공급하기 위해 상기 각각의 제 2 위치 앞에 위치한 클린 유닛을 더 포함하는
    기판 처리 장치.
  8. 기판 처리 장치에 있어서,
    복수개의 기판을 처리하기 위한 두 개의 프로세스 튜브와,
    상기 기판을 유지하는 네 개의 보트와,
    네 개의 보트 엘리베이터에 있어서, 각 보트 엘리베이터는 하나의 보트를 하나의 프로세스 튜브에/로부터 반입 반출하고 상기 하나의 보트를 상기 프로세스 튜브 밑에 위치한 두 개의 제 1 위치 중 한 곳과 세 개의 해당 제 2 위치 중 한 곳 사이에서 이동시키는 상기 네 개의 보트 엘리베이터와,
    상기 기판을 상기 보트에/로부터 반입 반출하기 위한 기판 탑재 이송 장치를 포함하는
    기판 처리 장치.
  9. 복수개의 기판을 처리하기 위한 프로세스 튜브와, 상기 기판을 유지하는 제 1 및 제 2 보트와, 두 개의 보트 엘리베이터에 있어서, 상기 보트 엘리베이터가 상기 보트를 상기 프로세스 튜브 밑에 위치한 제 1 위치와 두 해당 제 2 위치사이에서 이동시키며 상기보트를 상기 제 1 위치에서 상기 프로세스 튜브에/로부터 반입 반출하는 상기 두 개의 보트 엘리베이터와, 상기 기판을 상기 보트에/로부터 반입 반출하기 위한 기판 탑재 이송 장치를 포함하되, 상기 보트 엘리베이터가 상기 기판 탑재 이송장치와 상기 프로세스 튜브의 중심을 지나는 직선을 기준으로 양쪽에 배치되며 상기 보트 중 하나는 해당 보트 엘리베이터에 의해 상기 제 1 위치로부터 상기 기판 탑재 이송 장치 측으로 이동되고 다른 하나의 보트는 해당 보트 엘리베이터에 의해 상기 제 1 위치로부터 상기 기판 탑재 이송 장치의 반대측으로 이동되는상기 기판 처리 장치를 이용하여 반도체 디바이스를 제조하는 반도체 디바이스 제조 방법이,
    상기 프로세스 튜브 내에서 상기 제 1 보트 내에 유지된 기판을 처리하는 단계와,
    상기 제 1 보트를 상기 프로세스 튜브로부터 반출하는 단계와,
    상기 프로세스 튜브 내에서 상기 제 2 보트 내에 유지된 기판을 처리하고 상기 제 2 보트 내에 유지된 상기 기판이 처리되는 동안에 상기 제 1 보트로부터 처리된 기판을 반출하는 단계를 포함하는
    반도체 디바이스 제조 방법.
  10. 제 9 항에 있어서,
    상기 제 1 보트 내에 유지된 기판이 상기 프로세스 튜브 내에서 처리된 다음에 상기 제 1 보트가 상기 프로세스 튜브로부터 반출되고 이어서 처리될 기판이 상기 제 2 보트 내로 반입되는
    반도체 디바이스 제조 방법.
  11. 제 9 항에 있어서,
    상기 제 1 보트 내에 유지된 기판이 상기 프로세스 튜브 내에서 처리되는 동안에 처리될 기판이 상기 제 2 보트 내로 반입되는
    반도체 디바이스 제조 방법.
  12. 제 11 항에 있어서,
    상기 처리될 기판이 상기 제 2 보트 내로 반입된 다음에 상기 제 2 보트가 해당 보트 엘리베이터에 의해 상기 제 2 위치의 한곳 위의 제 3 위치로 이송되는 반도체 디바이스 제조 방법.
KR1020010054227A 2000-09-05 2001-09-04 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법 KR20020019414A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000268036 2000-09-05
JPJP-P-2000-00268036 2000-09-05

Publications (1)

Publication Number Publication Date
KR20020019414A true KR20020019414A (ko) 2002-03-12

Family

ID=18754861

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010054227A KR20020019414A (ko) 2000-09-05 2001-09-04 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법

Country Status (3)

Country Link
US (1) US6540469B2 (ko)
KR (1) KR20020019414A (ko)
TW (1) TW520530B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101407902B1 (ko) * 2006-11-22 2014-06-16 베이징 세븐스타 일렉트로닉스 컴퍼니, 리미티드 고수율 반도체 배치-웨이퍼 처리장비의 자동화

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1008143C2 (nl) * 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
JP4266197B2 (ja) * 2004-10-19 2009-05-20 東京エレクトロン株式会社 縦型熱処理装置
KR100946994B1 (ko) 2005-10-04 2010-03-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
KR20140091768A (ko) * 2005-11-07 2014-07-22 브룩스 오토메이션 인코퍼레이티드 반도체 작업대상물 공정처리 시스템
US8267634B2 (en) 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
KR101841753B1 (ko) 2006-08-18 2018-03-23 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
JP4842227B2 (ja) * 2006-09-13 2011-12-21 東京エレクトロン株式会社 半導体製造装置における地震被害拡散低減システム
US7922485B2 (en) * 2007-02-14 2011-04-12 Tokyo Electron Limited Vertical type heat processing apparatus and vertical type heat processing method
JP4365430B2 (ja) * 2007-02-14 2009-11-18 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理方法
US8190277B2 (en) * 2007-11-30 2012-05-29 Tokyo Electron Limited Method for limiting expansion of earthquake damage and system for limiting expansion of earthquake damage for use in semiconductor manufacturing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100279021A1 (en) * 2009-05-04 2010-11-04 Samsung Mobile Display Co., Ltd. Apparatus for depositing organic material and depositing method thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012004536A (ja) * 2010-05-20 2012-01-05 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20200168485A1 (en) * 2018-11-28 2020-05-28 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0745547A (ja) * 1993-07-29 1995-02-14 Tokyo Electron Ltd 熱処理装置
WO1998036444A1 (en) * 1997-01-27 1998-08-20 Asm International N.V. Device for processing semiconductor wafers
KR0150052B1 (ko) * 1994-08-02 1998-12-01 김주용 웨이퍼 이송장치 및 방법
KR0172159B1 (ko) * 1994-08-19 1999-03-30 이노우에 아키라 반도체 처리 시스템
JPH11329989A (ja) * 1998-05-21 1999-11-30 Kokusai Electric Co Ltd 基板処理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
JP2719718B2 (ja) * 1989-03-20 1998-02-25 東京エレクトロン株式会社 熱処理装置
JPH0385723A (ja) * 1989-08-30 1991-04-10 Kokusai Electric Co Ltd 縦型cvd装置
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
US5221201A (en) * 1990-07-27 1993-06-22 Tokyo Electron Sagami Limited Vertical heat treatment apparatus
KR0147387B1 (ko) * 1990-09-25 1998-11-02 이노우에 다케시 종형 열처리 장치
JPH06127621A (ja) * 1992-03-29 1994-05-10 Tokyo Electron Tohoku Ltd 基板移載装置
JP3120395B2 (ja) * 1993-03-10 2000-12-25 東京エレクトロン株式会社 処理装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JPH09289173A (ja) * 1996-04-19 1997-11-04 Tokyo Electron Ltd 縦型熱処理装置
US5829969A (en) * 1996-04-19 1998-11-03 Tokyo Electron Ltd. Vertical heat treating apparatus
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
JP3664897B2 (ja) * 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0745547A (ja) * 1993-07-29 1995-02-14 Tokyo Electron Ltd 熱処理装置
KR0150052B1 (ko) * 1994-08-02 1998-12-01 김주용 웨이퍼 이송장치 및 방법
KR0172159B1 (ko) * 1994-08-19 1999-03-30 이노우에 아키라 반도체 처리 시스템
WO1998036444A1 (en) * 1997-01-27 1998-08-20 Asm International N.V. Device for processing semiconductor wafers
JPH11329989A (ja) * 1998-05-21 1999-11-30 Kokusai Electric Co Ltd 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101407902B1 (ko) * 2006-11-22 2014-06-16 베이징 세븐스타 일렉트로닉스 컴퍼니, 리미티드 고수율 반도체 배치-웨이퍼 처리장비의 자동화

Also Published As

Publication number Publication date
US20020037210A1 (en) 2002-03-28
TW520530B (en) 2003-02-11
US6540469B2 (en) 2003-04-01

Similar Documents

Publication Publication Date Title
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
US7198447B2 (en) Semiconductor device producing apparatus and producing method of semiconductor device
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
KR100310249B1 (ko) 기판처리장치
KR100639765B1 (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
JP3238432B2 (ja) マルチチャンバ型枚葉処理装置
JP4642619B2 (ja) 基板処理システム及び方法
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
JPH05218176A (ja) 熱処理方法及び被処理体の移載方法
JP2010283356A (ja) 基板処理装置および半導体装置の製造方法
JP2000150400A (ja) 縦型熱処理装置およびボート搬送方法
KR101530024B1 (ko) 기판 처리 모듈, 이를 포함하는 기판 처리 장치 및 기판 전달 방법
JP2003007800A (ja) 基板処理装置および半導体装置の製造方法
JPH09104982A (ja) 基板処理装置
KR20020025042A (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
JP2002261148A (ja) 処理システム及び被処理体の予熱方法
KR102491212B1 (ko) 진공 처리 장치 및 기판 반송 방법
US5234528A (en) Vertical heat-treating apparatus
KR20070024517A (ko) 종형 열처리 장치 및 그 운용 방법
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2002173775A (ja) 半導体製造装置および半導体装置の製造方法
JP2004119627A (ja) 半導体製造装置
JP4283973B2 (ja) 基板処理装置および半導体装置の製造方法
JPH09104983A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application