JP5338335B2 - 搬送容器の開閉装置及びプローブ装置 - Google Patents

搬送容器の開閉装置及びプローブ装置 Download PDF

Info

Publication number
JP5338335B2
JP5338335B2 JP2009013436A JP2009013436A JP5338335B2 JP 5338335 B2 JP5338335 B2 JP 5338335B2 JP 2009013436 A JP2009013436 A JP 2009013436A JP 2009013436 A JP2009013436 A JP 2009013436A JP 5338335 B2 JP5338335 B2 JP 5338335B2
Authority
JP
Japan
Prior art keywords
lid
opening
probe
foup
closing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009013436A
Other languages
English (en)
Other versions
JP2010067940A (ja
Inventor
正 帯金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009013436A priority Critical patent/JP5338335B2/ja
Priority to US12/539,952 priority patent/US8267633B2/en
Priority to TW098127142A priority patent/TWI503915B/zh
Priority to CN2009101652069A priority patent/CN101651112B/zh
Priority to KR1020090074679A priority patent/KR101279318B1/ko
Publication of JP2010067940A publication Critical patent/JP2010067940A/ja
Application granted granted Critical
Publication of JP5338335B2 publication Critical patent/JP5338335B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、複数枚の基板を収納する密閉型の搬送容器を開閉する開閉装置及びこの開閉装置を用いたプローブ装置に関する。
半導体製造工程において、半導体ウェハ(以下、ウェハという)を各種の処理装置や検査装置等に搬送する場合には、ウェハに対するパーティクル汚染を少なくするために密閉型の搬送容器であるFOUPを用いて行われることが多い。このFOUPは搬送容器本体の前面開口部を開閉する蓋体を備えており、このため処理装置側のロードポートには、FOUPの載置台と、この載置台の前進により前記蓋体の鍵孔と係合し更に蓋体の鍵を開けて当該蓋体を支持する蓋体支持部とが設けられている。この蓋体支持部は、処理装置(この処理は、ここではウェハの検査等も含む)のウェハ搬送雰囲気と外部とを開閉する役割も果たしており、一般的にFOUPの蓋体を支持した後に下降し、これによりFOUPの開口部がウェハ搬送雰囲気に臨む状態になる(特許文献1)。
一方、半導体製造工程の最終工程で用いられるプローブ装置においても、FOUPのロードポートが設けられるが、プローブテストの向上のためプローブユニットを複数台、例えば2台備えたプローブ装置が提案されている。この装置は、2個のロードポートをウェハの搬送機構の待機領域を介して対向配置させているローダ部を備え、このローダ部にプローブユニットを2台並べることによって構成されている。このため搬送機構のアーム体がFOUPからウェハを受け取った後に降下し、プローブユニット内のステージにウェハを受け渡すために左側(右側)斜めに向けてアーム体を進退させなければならない。しかしながら前記扉支持部がFOUPの高さよりも低い箇所に位置していることから、アーム体と扉支持部との側室を避けるために、例えばプローブユニット側のステージの受け渡し位置やロードポート間の距離等のレイアウト上の制約を受けてしまう。
そしてプローブ装置全体の平面形状を4角形に維持しながらプローブユニットの台数を更に増やそうとすると、全体のY方向寸法がプローブユニット群の寸法で決定されるようになるので、結果として両端のプローブユニットの中心位置が寄ってくることになる。このため、扉支持部を避けて両端のプローブユニットにウェハを搬送しようとすると、ロードポートがプローブユニットよりも左(右)側に飛び出さざるを得なくなる。またこのようなプローブ装置に限らず、FOUPの扉を下方に降下させる構成においては、FOUPの載置領域よりも下方側の領域の有効活用を妨げるという課題、例えば電気ユニットやプリアライメント機構の配置を妨げるという課題もある。
なお、特許文献2にはFOUPの蓋体を横開きに回転できるように構成した構造が記載されているが、このようなFOUPは、汎用性がないので実際には使用ができない。
[特許文献1] 特開2008−91597号公報(段落番号0049)
[特許文献2] 特開2003−249537号公報(段落番号0007)
本発明はこのような事情に鑑みてなされたものであり、その目的は、複数枚の基板を棚状に保持する密閉型の搬送容器が搬入され、搬送容器の蓋体が開かれる領域の下方空間を有効に活用することのできる搬送容器の開閉装置を提供することにある。本発明の他の目的は、前記搬送容器の開閉装置を備えることによりレイアウトの自由度の大きいプローブ装置を提供することにある。
本発明は、
前面の開口部に対して着脱自在に蓋体が取り付けられると共に、当該蓋体に設けられたラッチ機構を動かすことにより蓋体が容器本体にロックされるように構成され、複数の基板を棚状に保持するための搬送容器の前記蓋体を開閉する搬送容器の開閉装置において、
搬送容器を載置する載置台がその内部に設けられた筐体と、
この筐体の正面に開口し、シャッタにより開閉される搬送容器搬入口と、
前記筐体の側面に開口し、搬送容器内の基板の受け渡しを行うための受け渡し口と、
前記載置台を鉛直軸回りに回転させる回転機構と、
前記筐体内の背面側に設けられ、前記蓋体のラッチ機構に係合して当該ラッチ機構を動かすことにより蓋体と容器本体とのロックを解除するための鍵部と、前記蓋体の前面に吸着する吸引方式の吸着機構と、を備え、前記搬送容器の蓋体を開閉すると共に保持するための蓋体開閉機構と、
前記蓋体開閉機構を前記搬送容器に対して進退させる移動機構と、
この移動機構により前記蓋体開閉機構を移動させて、当該搬送容器の蓋体のラッチ機構を蓋体開閉機構の鍵部に係合させると共に前記吸着機構により蓋体を吸着し、次いでラッチ機構を動かすことにより蓋体と容器本体とのロックを解除し、搬送容器から当該蓋体を取り外し、次いで前記蓋体開閉機構前記搬送容器から離間させ、載置台を回転させて搬送容器の向きを前記受け渡し口に向くように制御信号を出力する制御部と、を備え
前記載置台の回転中心は、搬送容器内の基板である半導体ウエハの中心よりも前記搬送容器搬入口側に偏心しかつ前記受け渡し口側に偏心していることを特徴とする。
そして本発明のプローブ装置は、上記各搬送容器の開閉装置と、前記筐体の背面側にて左右方向に並んで設けられ、プローブカードにより基板の検査を行う複数台のプローブユニットと、前記受け渡し口を介して搬送容器内の基板を受け取り、当該受け渡し口よりも下方側に降下した状態で基板をプローブユニット内に搬送する搬送機構と、を備えたことを特徴としている。また本発明のプローブ装置では、例えば前記搬送容器の開閉装置は、前記搬送機構の配置領域を介して互いに向き合って2個設けられていてもよい。
本発明によれば、搬送容器が載置される載置台が設けられた筐体内に蓋体開閉機構を設け、搬送容器から蓋体を取り外した後、載置台を回転させて、搬送容器を基板の受け渡し口に向けるようにしている。従って筐体の下方領域に蓋体を保持しておく領域を設ける必要がないので、搬送容器が搬入されるロードポート(搬送容器の開閉装置)の下方空間を有効に活用することができる。そしてこの搬送容器の開閉装置を備えたプローブ装置では、搬送容器が載置される筐体の下方領域を、基板の搬送経路と重ね合わせることができる等有効に活用することが可能となり、このためレイアウトの自由度の大きいプローブ装置を提供することができる。
本実施形態のプローブ装置の概略を示す斜視図である。 本実施形態のプローブ装置の概略を示す平面図である。 本実施形態のプローブ装置の概略を示す側面図である。 本実施形態のロードポート11、12の概略を示す側面図である。 FOUP100の載置方法について説明するための第1の説明図である。 FOUP100の載置方法について説明するための第2の説明図である。 プローブ装置のウェハWの受け渡しについて説明する説明図である。 第2の実施形態に係るロードポートの概要を示す断面図である。 第2の実施形態に係るロードポートの概要を示す斜視図である。 第2の実施形態に係るFOUP100の載置方法について説明するための第1の説明図である。 第2の実施形態に係るFOUP100の載置方法について説明するための第2の説明図である。 本発明の他の実施形態のプローブ装置について説明するための斜視図である。
本発明のFOUP開閉装置を適用したプローブ装置の実施の形態について説明する。このプローブ装置は、図1ないし図4に示すように、被検査基板であるウェハWの受け渡しを行うためのローダ部1と、ウェハWに対してプロービングを行うプローブ装置本体2と、を備えている。先ず、ローダ部1及びプローブ装置本体2の全体のレイアウトについて簡単に説明しておく。
ローダ部1は、複数枚のウェハWが収納された密閉型搬送容器(キャリア)であるFOUP100が搬入され、互いにY方向(図示左右方向)に離間して対向配置される、第1のロードポート11及び第2のロードポート12と、これらロードポート11、12の間に配置された搬送室10と、を備えている。第1のロードポート11及び第2のロードポート12は、本発明のFOUP開閉装置の実施形態に相当する。ロードポート11(12)は、各々筐体11a(12a)を備え、これら筐体11a(12a)の前面側(X方向手前側)には、外部からFOUP100を搬入出するための、FOUP搬入口11b(12b)が設けられている。このFOUP搬入口11b(12b)には、取っ手を備えたシャッタ11c(12c)が、上昇位置にてロードポート11(12)を閉じ、下降位置にてロードポート11(12)を開くように設けられている。筐体11a(12a)の内部には、FOUP100を載置するための載置台13(14)が設けられている。
次に本発明の要部であるロードポート(FOUP開閉装置)11(12)と、ロードポート11(12)に搬入されるFOUP100について図2ないし図4を参照して詳述する。ここでローダ部1の第1のロードポート11及び第2のロードポート12は、互いに対称にかつ同一に構成されているため、図3、図4には第1のロードポート11を代表して示す。
ロードポート11は、筐体11aによって周囲を囲まれており、筐体11aの搬送室10側には、FOUP100のウェハWの受け渡し口11dが設けられている。筐体11aの内部には、後述するFOUP100の蓋体91を開いて保持するための蓋体開閉機構42が配設されている。蓋体開閉機構42は、FOUP搬入口11bを正面とすると、筐体11aの背面に設けられており、蓋体91と接する面に、図4に示すように鍵部42aと位置決め用のピン42bとが設けられている。蓋体開閉機構42の内部には図示しない鍵回転部が配設されており、鍵部42aを90度回転させるように構成されている。また蓋体開閉機構42は、FOUP100の蓋体91が開封された場合、鍵部42aとピン42bとで蓋体91を保持するように構成されている。そして鍵部42aの回転動作に基づいて蓋体91の開閉は行われる。
載置台13は、載置板60、移動機構61及び回転支持部62を備えている。載置板60は、FOUP100の載置面側に3本の位置決めピン63と鉤爪部64とを有しており、他面側には載置板60をガイドするガイド部材65が設けられている。移動機構61は、ガイド部材65に沿って載置板60を図示X方向に移動させる、例えばエアシリンダー等の図示しない駆動源を有している。そして載置板60は、移動機構61により、FOUP100の載置位置から後述する蓋体91の開閉位置、即ち蓋体開閉機構42の鍵部42aと鍵穴92とが係合する位置まで移動する。言い換えれば、載置板60に位置決め固定されたFOUP100は、載置板60が蓋体開閉機構42側へと移動した際に鍵部42aと鍵穴92とが係合するように固定される。
また回転支持部62は、下部に回転機構40の回転軸41が接続され、載置板60を移動機構61ごと搭載している。そして回転機構40によって載置板60を90度回転させる。これによりFOUP100は、X方向に進退し、かつZ軸(鉛直軸)回りに90度回転する。また載置台13の回転中心P1は、載置台13の中心位置P2、即ち載置されたFOUP100の中心位置から、搬送室10側、かつシャッタ11c、12c側に偏芯している。
FOUP100は、内部に図示しない収納棚を複数、例えば25段有しており、25枚のウェハWを収納できるようになっている。FOUP100は、FOUP100の開口部を封止するための蓋体91を有しており、蓋体91には、鍵穴92、ピンホール93及び図示しないラッチ機構が備えられている。鍵穴92は、蓋体開閉機構42の鍵部42aと係合する。ピンホール93は、蓋体開閉機構42の位置決め用のピン42bと嵌合する。ラッチ機構は、鍵穴92の内部で鍵部42aが回転することにより作動し、この作動により蓋体91のFOUP100に対するロック状態とアンロック状態とが切り替わるように構成されている。またFOUP100の下部には、台座部94が設けられ、この台座部94の下面には載置台13にFOUP100を係止するための、位置決め孔95と、突出部96が形成されている。位置決め孔95は、載置台13の位置決めピン63と嵌合するように構成され、突出部96は、載置台13の鉤爪部64が掛けられるように構成されている。そして載置板60にFOUP100を載置する際には、位置決め孔95と位置決めピン63とが嵌合するようにFOUP100を載置してから、鉤爪部64を突出部96に掛ける。これによりFOUP100は、載置板60に位置決め固定される。
搬送室10には、図2及び図3に示すように搬送機構であるウェハ搬送アーム3が設けられている。ウェハ搬送アーム3は、搬送基台35と、この搬送基台35を鉛直軸回りに回転させる回転軸3aと、この回転軸3aを昇降させる図示しない昇降機構と、を備えると共に、搬送基台35には、複数枚、例えば2枚のアーム30体が進退自在に設けられており、両アーム体30が互いに独立して進退して、ウェハWの搬送を行う役割を有している。回転軸3aの回転中心は、二つのロードポート11(12)から等距離位置に設定されている。またウェハ搬送アーム3は、FOUP100との間でウェハWを受け渡すための上位置と、第1のプローブユニット21Aまたは第2のプローブユニット21Bとの間でウェハWを受け渡すためのロードポート11(12)よりも下側の下位置と、の間で昇降可能に構成されている。
またロードポート11の下方領域には、プローブ装置本体2へのウェハWの搬送を阻害しない位置に、回転ステージとウェハの周縁を検出する光学系検出部とを含むプリアライメントユニットが設けられている。図2においてプリアライメントユニットに用いられる回転ステージを符号55で示しておく。このプリアライメントユニットは、ウェハ搬送アーム3から受け取ったウェハWに対してプリアライメントを行い、ウェハWの向きを調整すると共に中心位置を検出するものである。
プローブ装置本体2は、ローダ部1とX方向に並ぶように当該ローダ部1に隣接して配置され、プローブ装置本体2の外装部分を構成するケーシング22を備えている。このケーシング22は仕切り壁20を介してY方向に2分割されており、一方の分割部分及び他方の分割部分は、夫々第1のプローブユニット21A及び第2のプローブユニット21Bを区画形成する外装体に相当する。第1のプローブユニット21Aは、基板載置台であるウェハチャック4Aと、このウェハチャック4Aの上方領域をY方向(ロードポート11、12を結ぶ方向)に移動するカメラを備えた撮像ユニットである移動体をなすアライメントブリッジ5Aと、ケーシング22の天井部をなすヘッドプレート80に設けられたプローブカード6Aと、を備えている。第2のプローブユニット21Bについても同様に構成され、ウェハチャック4B、アライメントブリッジ5B及びプローブカードを備えている。
このプローブ装置本体2のケーシング22におけるローダ部1側の側壁には、第1のプローブユニットと第2のプローブユニット21Bとの間においてウェハWを受け渡すために、Y方向に伸びる帯状の搬送口22a、22b(図2参照)が開口している。なお、これらの第1のプローブユニット21Aと第2のプローブユニット21Bとは、ウェハ搬送機構3の回転中心を通り、第1のロードポート11と第2のロードポート12とを結ぶ直線に直交する水平ラインに対して、それぞれのウェハWの受け渡し位置、ウェハW表面の撮像位置及びプローブカード6Aの設置位置などが左右対称となり、且つ同じ構成となっているため、説明の重複を避けるために、第1のプローブユニット21Aについて、図2、3を参照して説明する。
プローブユニット21A内のウェハチャック4Aは、X、Y、Z(上下)方向に移動自在、かつ鉛直軸回りに回転できるようにテーブルユニット23に組み合わせて設けられており、ウェハ搬送機構3との間においてウェハWの受け渡しを行うための受け渡し位置と、後述するように、ウェハW表面の撮像位置と、プローブカード6Aのプローブ針29にコンタクトするコンタクト位置(検査位置)との間で移動できるようになっている。
ウェハチャック4Aの移動領域の上方には、プローブカード6Aが設けられ、このプローブカード6Aの上面側には、ポゴピンユニット28が設けられている。このポゴピンユニット28の上面には、通常は図示しないテストヘッドが配置されるが、この例ではテストヘッドは、プローブ装置本体2とは別の位置に配置され、ポゴピンユニット28とテストヘッドとは図示しないケーブルで接続されている。また、プローブカード6Aの下面側には、上面側の電極群に夫々電気的に接続された、プローブ例えばウェハWの表面に対して垂直に伸びる垂直針(線材プローブ針)が、ウェハWの電極パッドの配列に対応して、例えばプローブカード6Aの全面に設けられている。アライメントブリッジ5Aには、ウェハの表面を撮影するためのCCDカメラが設けられている。
また図2に示すように、プローブ装置には、例えばコンピュータからなる制御部15が設けられており、この制御部15は、プログラム、メモリ、CPUからなるデータ処理部などを備えている。プログラムは、FOUP100がロードポート11(12)に搬入された後、ウェハWに対してプローブテストが行われ、その後ウェハWがFOUP100に戻されてFOUP100が搬出されるまでの一連の各部の動作を制御するようにステップ群が組まれている。このプログラム(処理パラメータの入力操作や表示に関するプログラムも含む)は、例えばフレキシブルディスク、コンパクトディスク、MO(光磁気ディスク)、ハードディスクなどの記憶媒体に格納されて制御部15にインストールされる。
次に、上述実施形態の作用について説明する。まず具体的な蓋体91の開閉動作について、図5、図6を参照して説明する。ここでローダ部1の第1のロードポート11及び第2のロードポート12は、互いに対称にかつ同一に構成されているため、第1のロードポート11の構造を図5、6に代表して示しておく。また図5、図6では、説明の便宜上筐体11aの内部位置と、FOUP100、載置台13、蓋体開閉機構42のみを示し、他の部材については説明を省略している。まずロードポート11のシャッタ11cを開いて、FOUP搬入口11bから図5(a)に示すようにFOUP100をロードポート11に搬入する。次に、既述したように台座部94の位置決め孔95と位置決めピン63とを嵌合させてFOUP100の位置決めを行い、FOUP100の位置決めが完了した時点で鉤爪部64を突出部96に掛けてFOUP100を載置板60上に固定する。この状態を図5(b)に示す。
FOUP100を固定した後、図5(c)に示すように、蓋体91の鍵穴92と蓋体開閉機構42の鍵部42aとが係合し、かつピンホール93とピン42bとが嵌合する位置まで載置板60を蓋体開閉機構42へ向けて前進させる。そして鍵穴92と鍵部42aとが係合すると、鍵部42aが回転して蓋体91をアンロック状態にすると共に、蓋体開閉機構42が蓋体91を保持可能な状態となる。その状態でFOUP100が後退すると、図6(a)に示すようにアンロック状態の蓋体91は蓋体開閉機構42に保持された状態となり、FOUP100の開口部が開放される。
開口部が開放されると、図6(b)に示すように回転機構40が駆動してFOUP100の開口部が受け渡し口11d方向へ向くように、FOUP100を回転させる。このときロードポート11では、図6(a)に示すように載置台13の回転中心P1が載置台13の中心位置から偏芯しており、X軸上ではFOUP搬入口11b側に向けて距離X1、Y軸上では受け渡し口11d側に向けて距離Y1だけ偏芯している。そのため図6(c)に示すように載置台13を90度回転させて開口部を受け渡し口11d側に向けると、載置台13の中心P2のY軸上における位置を、距離X1+Y1分だけ受け渡し口11d側に移動させることができる。これによりFOUP100に収納されたウェハWの中心位置が、載置台13の回転前の位置から受け渡し口11d側に距離X1+Y1分だけ移動することになる。
そして図6(c)に示すようにFOUP100の開口部が受け渡し口11dへと向いた後、ウェハ搬送アーム3により内部のウェハWが取り出される。その後、FOUP100からウェハ搬送アーム3によって搬出されたウェハWは、プリアライメントユニットの回転ステージ55に搬送され、プリアライメントが行われて、プローブユニット21A、21Bに対応したノッチの向きとなるようにウェハWの向きが調整され、またその中心位置についても検出される。プリアライメントが終了すると、ウェハ搬送アーム3は、プリアライメントにより得られたデータに基づいてウェハ搬送アーム3のウェハ保持領域の中心にウェハWの中心が一致するようにウェハWを受け取り、ロードポート11(12)の下方領域を通過するようにしてウェハWを搬送する。そしてウェハWは、搬送口22a、22bからプローブユニット21A、21Bへと搬入されて、チャックトップ4A、4Bへと受け渡される。図7は、このウェハWの受け渡しを簡略化して示している。
プローブユニット21A、21Bに搬入された後は、アライメントブリッジ5Aに設けられた図示しないCCDカメラによりウェハWの電極パッドを撮像すると共に、チャックトップA4近傍に設けられた図示しない下カメラによりプローブカード6Aのプローブ針29の針先を撮像し、各撮像時におけるチャックトップ4Aの駆動系で特定されるX、Y、Z方向の座標位置を求め、これら座標位置に基づいて求めたコンタクト位置にウェハWを移動させる。そしてプローブ針29とウェハW上の電極パッドとを接触させ、プローブカード6Aに接続されたテストヘッドを介して接続されている図示しないテスタにより各チップの電気的特性が測定される。プローブテストが終了すると、ウェハWはプリアライメントユニットに搬送されないようにFOUP100へと戻される。この一連の動作は、テスト用プログラム16を読み込んだ制御部15によって制御された状態で行われる。
その後ウェハWは、プローブユニット21A、21Bへと搬送されて上述したプローブテストが行われる。プローブテストが終了したウェハWは、ウェハ搬送アーム3によりFOUP100へと戻され、FOUP100の全てのウェハWに対してプローブテストが終了すると、上述した手順とは逆の手順によりFOUP100に蓋体91が装着されて、ロードポート11の外部へと搬出される。
上述したように本実施形態のプローブ装置では、ロードポート11(12)の内部にFOUP100の蓋体91を開いて保持する蓋体開閉機構42を設け、この蓋体開閉機構42で蓋体91が外されたFOUP100を、ウェハ搬送アーム3によるウェハWの受け渡し口11d側に向けて回転させている。このため従来のようにロードポート11(12)の下方領域に蓋体を退避させておく機構が不要になる。そのためロードポート11(12)の下方領域に蓋体91が保持されなくなるので、従来では蓋体91の保持領域として使用されていたこの領域を有効活用することが可能となり、本実施形態のようにウェハWの搬送領域として使用することが可能となる。そしてプローブユニット21A、21Bに対してウェハWを搬入出する際に、ロードポート11(12)の下方領域を通過するようにウェハWを搬送できるので、チャックトップ4A、4Bに対する受け渡しの位置の自由度が大きくなり、その結果として、ロードポート11(12)の両端(外側)がプローブユニット21A、21Bの外端よりもY方向外側に飛び出すことなく、両肩の外端面を揃えることができる構成を容易に設計することができるといった効果がある。このように本実施形態によれば、FOUP100が載置されるロードポート11(12)の下方領域をウェハWの搬送領域として利用する等、有効に活用することが可能となり、そしてレイアウトの自由度が大きいという効果がある。
また載置台13の回転中心P1が載置台13の中心P2に対して既述のように偏芯しているため、受け渡し口11d側に開口部を向けた際に、FOUP100に収納されたウェハWの中心位置を、載置台13の回転前の位置から受け渡し口11d側に距離X1+Y1分だけ近づけることができる。これによりウェハ搬送アーム3のストロークが長くなることが回避できる、若しくは抑えられるので、ウェハ搬送アーム3を大型化しなくて済む等の利点がある。
[第2の実施形態]
本発明の第2の実施形態に係るプローブ装置について図8ないし図11を参照して説明する。第2の実施形態のプローブ装置は、ロードポート11の内部構造を除いては、第1の実施形態と同じであるため、第1の実施形態と同一部分または相当部分には、同一の符号を付して説明する。第1の実施形態では、FOUP100を進退させていたが、第2の実施形態では、FOUP100を停止させた状態で、蓋体開閉機構242を進退させて蓋体91を開閉する点が異なる。なお第2の実施形態の説明では、ロードポート11とロードポート11に関連する部材についてのみ説明するものとする。
図8に示すように、ロードポート11の筐体11a内には、載置台213と蓋体開閉機構242とが設けられている。載置台213は、載置板60と回転支持部62とを備え、回転支持部62の下部に回転軸41が接続されている。そしてこの実施形態では、載置台213に載置されるFOUP100を進退させないため、第1の実施形態で載置板60の下部に設けられていた移動機構61が削除されている。なお載置台213の回転中心P4(図11参照)は、載置台213の中心位置P5(図11参照)、即ち載置されたFOUP100の中心位置から、搬送室10側(図8の奥手側、図2参照)、かつFOUP搬入口11b側に偏芯している。
図8及び図9に示すように、蓋体開閉機構242は、FOUP搬入口11bを正面とすると、筐体11a内の背面側に設けられている。蓋体開閉機構242は、筐体11aの中心から見て背面側を後方としたときに、下部の左右両端を夫々、後述する支持アーム271により垂直姿勢でかつ、筐体11aの背面と略平行となるように支持された支持板245を備えている。この支持板245の前面(載置台213側の面)には、鍵部42aと吸着機構243とが設けられている。
吸着部243は、図9に示すように吸盤244と、この吸盤244の中央に設けられた位置決め用のピン42bとを備えており、ピン42bが蓋体91に形成されたピンホールに挿入されて蓋体91の位置決めがされた後に、吸盤244が蓋体91を吸引するように構成されている。そして蓋体開閉機構242は、鍵部42aによって開閉された蓋体91を、支持板245の他面で吸着保持するようになっている。
また筐体11aの底部には、当該底部の全面を覆う偏平な矩形状の箱であるカバー214が設けられており、その内部に進退機構270が設けられている。またカバー214の上面には、後述する支持アーム271の移動領域に対応するスリット215が形成されると共に、カバー214の上方には載置台213が配置される。そして回転軸41は、カバー214と筐体11aとを貫通して、回転支持部62の筐体11aの底部側に接続されている。なお図9では、説明の便宜上筐体11aとカバー214の記載を省略している。
進退機構270は、蓋体開閉機構242を、筐体11aの背面から載置台213まで進退させる本発明の移動機構に相当する機構である。進退機構270は、夫々、筐体11aの底部の両端側にて、図示X方向(前後方向)に伸びる2本のガイドレール272を備えており、各ガイドレール272には、このガイドレール272上を移動する移動部273が取り付けられている。そして各移動部273には、支持板245を支持する支持アーム271の一端が取り付けられている。
この支持アーム271は、L字上の部材であり、L字の一辺の先端(一端)が既述のように移動部273に取り付けられ、他辺の先端部が既述のように支持板245の下部の左右両側部に一つずつ接続されている。そして両支持アーム271は、L字の各辺の付け根部分に掛け渡された、X方向の断面が略L字状をしている連結バー274によって連結されている。
両ガイドレール272の間には、進退機構270の駆動源となる、例えばエアシリンダー等のシリンダ275が設けられ、図9に示すように回転軸41と筐体11aのX−Y平面上で重ならないように、かつピストンロッド276の伸縮方向がガイドレール272と平行となるように、筐体11aの底部に配置されている。そしてこのピストンロッド276の先端は連結バー274に接続されている。
この進退機構270は、ピストンロッド276の伸縮に応じて、X方向に進退するように構成されている。そしてピストンロッド276が縮退すると、支持している蓋体開閉機構242が載置台213側に前進し、ピストンロッド276が伸長すると支持している蓋体開閉機構242が筐体11aの背面側に後退するように構成されている。つまり進退機構270に支持されている蓋体開閉機構242は、ガイドレール272にガイドされた状態で前後方向(図示X方向)へ進退するようになっている。
なお吸着機構243には、図示しない吸引管を介して図示しない吸引ポンプに接続されており、シリンダ275には図示しない動力供給源(エア供給源)が接続されている。そして進退機構270は、制御部15によって動力供給源からの動力の供給等が制御されている。
次に本実施形態の蓋体91の開閉動作について、図8乃至図11を参照して説明する。ここで図10、図11では、説明の便宜上ロードポート11の筐体11aの内面と、FOUP100、載置台213、蓋体開閉機構242、進退機構270の一部のみを示し、他の部材については説明を省略している。まず図8に示すロードポート11のシャッタ11cを開いて、FOUP搬入口11bからFOUP100をロードポート11内に搬入する(図10(a)参照)。そして既述のように位置決め孔95(図8参照)と位置決めピン63とを嵌合させ、鉤爪部64を突出部96に掛けてFOUP100を載置板60上に固定する。この状態を図10(b)に示す。
FOUP100を固定した後、図10(c)に示すように、蓋体91の鍵穴92と蓋体開閉機構242の鍵部42aとが係合し、かつ図示しないピンホールとピン42bとが嵌合する位置まで蓋体開閉機構242をFOUP100に向けて前進させる。そしてピン42bをピンホールに嵌合させてから、吸着機構243により蓋体91を蓋体開閉機構242に吸着して保持する。次いで、鍵穴92に係合した鍵部42aが回転して蓋体91をアンロック状態にする。その状態で蓋体開閉機構242が後退すると、図11(a)に示すようにアンロック状態の蓋体91は蓋体開閉機構242に吸着保持された状態で、蓋体開閉機構242と共に筐体11aの背面側へ向けて移動する。これによりFOUP100の開口部が開放される。
その後、第1の実施形態と同様に、図11(b)に示すようにFOUP100の開口部が受け渡し口11d方向へ向くように、FOUP100を回転させる。そして図11(c)に示すようにFOUP100の開口部が受け渡し口11dへと向いた後、ウェハ搬送アーム3(図2参照)により内部のウェハWが取り出されて、第1の実施形態と同様にプローブテストが行われる。プローブテストが終了したウェハWは、FOUP100へと戻され、FOUP100の全てのウェハWに対してプローブテストが終了すると、上述した手順とは逆の手順によりFOUP100に蓋体91が装着されて、FOUP100はロードポート11の外部へと搬出される。
上述したように本実施形態のプローブ装置においても、ロードポート11(12)の内部にFOUP100の蓋体91を開いて保持する蓋体開閉機構242を設け、開いた蓋体91をロードポート11(12)内に保持しておくことができる。このためロードポート11(12)の下方領域に蓋体を退避させておく機構が不要になり、従来では蓋体91の保持領域として使用されていたこの領域を有効活用することが可能となる。従って本実施形態によれば、第1の実施形態と同様に、FOUP100が載置されるロードポート11(12)の下方領域をウェハWの搬送領域として利用する等、有効に活用することが可能となり、プローブ装置のユニットレイアウトの自由度を大きくすることができるという効果がある。
また本実施形態では、載置台213ではなく蓋体開閉機構242が進退するように構成されているため、FOUP100を進退させずに蓋体91を開閉することが可能となる。これにより開口部の開いたFOUP100が進退することがなく、内部のウェハWにFOUP100の進退による力が作用して、ウェハWが開口部から飛び出す虞がなくなる。
なお上述した各実施形態では、2つのロードポートと1つの搬送室からなるローダ室に、2台以上のプローブユニットをこのローダ室に沿うように一列に並べてプローブ装置を形成していたが、本発明は、2つのロードポートと1つの搬送室からなるローダ室と、複数、例えば3台以上のプローブユニットをこのローダ室に沿うように一列に並べたプローブ装置であっても適用可能である。
具体的な一例としては、例えば図12に示すように、上述した実施形態のプローブユニット21A(21B)と同構成のプローブユニット21を計4台、ローダ室1に沿うようにY軸方向に一列に並べたプローブ装置本体2を備えたプローブ装置であってもよい。このようにプローブユニット21を4台並べてプローブ装置本体2を構成した場合、全てのプローブユニット21に対してウェハWを搬入できるようにローダ部を形成する必要がある。
そして従来は、ローダ部の搬送室のみからウェハをプローブユニットに搬入していたため、搬送室の幅をプローブ装置本体の幅に合わせる必要があった。そのためロードポートの分ローダ部の長さがプローブ装置本体より長くなり、ローダ部側のY方向の側部が、プローブ装置本体より横に飛び出すという問題があった。
これに対し、図12に示すように本発明のロードポート11(12)(FOUP開閉装置)を備えたプローブ装置では、ロードポート11(12)の下方領域をウェハWの搬送領域として利用することができるので、その分搬送室10のY方向の幅を狭くすることができ、ローダ部1のY方向の幅とプローブ装置本体2のY方向の幅を合わせることができる。
つまり本発明のFOUP開閉装置を備えたプローブ装置では、プローブユニットを複数台並べてプローブ装置本体を形成したときに、ロードポート(FOUP開閉装置)の両端(外側)をプローブ装置本体の外端よりもY方向外側に飛び出させることなく、両肩の外端面を揃えることができる構成を容易に設計することができるという効果を、より顕著に奏することになる。
また本実施形態のFOUP開閉装置は、プローブユニットが1台のプローブ装置に適用してもよく、この場合にもロードポート(FOUP開閉装置)の下方側領域をウェハWの搬送領域とすることでレイアウトの自由度が大きいという効果があり、また当該下方領域に電気系や制御系のユニットあるいはプリアライメント機構等を配置するこができ、当該領域の有効活用を図ることができる。
また第1の実施形態では、蓋体91を鍵部42aと鍵穴92とを係合させることによって、蓋体91を蓋体開閉機構42に保持させ、第2の実施形態では、蓋体91を吸盤244で吸引して蓋体開閉機構242に吸着保持させているが、本発明の実施の形態としては、第1の実施形態の蓋体開閉機構42に第2の実施形態の吸盤を設けて、蓋体を吸着保持させるようにしてもよいし、第2の実施形態の蓋体開閉機構242で、第1の実施形態のように鍵部42aと鍵穴92とを係合させて蓋体91を保持させるようにしてもよい。
そしてまた本実施形態のFOUP開閉装置は、プローブ装置に限らず、ガス処理や熱処理を行う半導体製造装置、あるいは半導体ウェハにレジスト等の塗布液を塗布する塗布装置等のロードポートとしても適用することができる。
1 ローダ部
2 プローブ装置本体
3 ウェハ搬送アーム
10 搬送室
11、12 ロードポート
11a、12a 筐体
11b、12b FOUP搬入口
11c、12c シャッタ
11d、12d 受け渡し口
13、14 載置台
15 制御部
20 仕切り壁
21A、21B プローブユニット
22 ケーシング
22a、22b 搬送口
30 アーム体
40 回転機構
42、242 蓋体開閉機構
42a 鍵部
42b ピン
55 プリアライメントユニット
60 載置板
61 移動機構
62 回転支持部
100 FOUP
270 進退機構(移動機構)
W ウェハ

Claims (3)

  1. 前面の開口部に対して着脱自在に蓋体が取り付けられると共に、当該蓋体に設けられたラッチ機構を動かすことにより蓋体が容器本体にロックされるように構成され、複数の基板を棚状に保持するための搬送容器の前記蓋体を開閉する搬送容器の開閉装置において、
    搬送容器を載置する載置台がその内部に設けられた筐体と、
    この筐体の正面に開口し、シャッタにより開閉される搬送容器搬入口と、
    前記筐体の側面に開口し、搬送容器内の基板の受け渡しを行うための受け渡し口と、
    前記載置台を鉛直軸回りに回転させる回転機構と、
    前記筐体内の背面側に設けられ、前記蓋体のラッチ機構に係合して当該ラッチ機構を動かすことにより蓋体と容器本体とのロックを解除するための鍵部と、前記蓋体の前面に吸着する吸引方式の吸着機構と、を備え、前記搬送容器の蓋体を開閉すると共に保持するための蓋体開閉機構と、
    前記蓋体開閉機構を前記搬送容器に対して進退させる移動機構と、
    この移動機構により前記蓋体開閉機構を移動させて、当該搬送容器の蓋体のラッチ機構を蓋体開閉機構の鍵部に係合させると共に前記吸着機構により蓋体を吸着し、次いでラッチ機構を動かすことにより蓋体と容器本体とのロックを解除し、搬送容器から当該蓋体を取り外し、次いで前記蓋体開閉機構前記搬送容器から離間させ、載置台を回転させて搬送容器の向きを前記受け渡し口に向くように制御信号を出力する制御部と、を備え
    前記載置台の回転中心は、搬送容器内の基板である半導体ウエハの中心よりも前記搬送容器搬入口側に偏心しかつ前記受け渡し口側に偏心していることを特徴とする搬送容器の開閉装置。
  2. 請求項に記載の搬送容器の開閉装置と、前記筐体の背面側にて左右方向に並んで設けられ、プローブカードにより基板の検査を行う複数台のプローブユニットと、前記受け渡し口を介して搬送容器内の基板を受け取り、当該受け渡し口よりも下方側に降下した状態で基板をプローブユニット内に搬送する搬送機構と、を備えたことを特徴とするプローブ装置。
  3. 前記搬送容器の開閉装置は、前記搬送機構の配置領域を介して互いに向き合って2個設けられていることを特徴とする請求項に記載のプローブ装置。
JP2009013436A 2008-08-13 2009-01-23 搬送容器の開閉装置及びプローブ装置 Active JP5338335B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009013436A JP5338335B2 (ja) 2008-08-13 2009-01-23 搬送容器の開閉装置及びプローブ装置
US12/539,952 US8267633B2 (en) 2008-08-13 2009-08-12 FOUP opening/closing device and probe apparatus
TW098127142A TWI503915B (zh) 2008-08-13 2009-08-12 FOUP opening and closing device and probe device
CN2009101652069A CN101651112B (zh) 2008-08-13 2009-08-13 Foup开闭装置和探针装置
KR1020090074679A KR101279318B1 (ko) 2008-08-13 2009-08-13 Foup 개폐 장치 및 프로브 장치

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008208340 2008-08-13
JP2008208340 2008-08-13
JP2009013436A JP5338335B2 (ja) 2008-08-13 2009-01-23 搬送容器の開閉装置及びプローブ装置

Publications (2)

Publication Number Publication Date
JP2010067940A JP2010067940A (ja) 2010-03-25
JP5338335B2 true JP5338335B2 (ja) 2013-11-13

Family

ID=41681367

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009013436A Active JP5338335B2 (ja) 2008-08-13 2009-01-23 搬送容器の開閉装置及びプローブ装置

Country Status (5)

Country Link
US (1) US8267633B2 (ja)
JP (1) JP5338335B2 (ja)
KR (1) KR101279318B1 (ja)
CN (1) CN101651112B (ja)
TW (1) TWI503915B (ja)

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102116835B (zh) * 2009-11-06 2014-12-03 东京毅力科创株式会社 探测装置以及衬底运送方法
JP2012204645A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6038476B2 (ja) * 2012-04-07 2016-12-07 平田機工株式会社 基板収納用の容器の搬入出装置及び搬入出方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102186620B1 (ko) * 2013-05-06 2020-12-03 삼성전자주식회사 로드 포트 모듈 및 이를 이용한 기판 로딩 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016098930A1 (ko) * 2014-12-19 2016-06-23 주식회사 썬닉스 다방향 웨이퍼 이송 시스템
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102335827B1 (ko) 2014-12-24 2021-12-08 삼성전자주식회사 프로브 카드 로딩 장치, 그를 포함하는 프로브 카드 관리 시스템
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP6582676B2 (ja) 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
TWI788061B (zh) * 2015-08-04 2022-12-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN105575862B (zh) * 2015-12-24 2018-06-12 北京中电科电子装备有限公司 一种foup装载门装置
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6882656B2 (ja) * 2016-07-08 2021-06-02 シンフォニアテクノロジー株式会社 ロードポート及びロードポートを備える基板搬送システム
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10840121B2 (en) * 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for unpacking semiconductor wafer container
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018129460A1 (en) * 2017-01-08 2018-07-12 Testmetrix, Inc. Apparatus and methods for testing semiconductor devices
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10388547B2 (en) * 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
WO2018236544A1 (en) 2017-06-23 2018-12-27 Applied Materials, Inc. DETACHABLE SIDE STORAGE NACELLE APPARATUS, HEATED SIDE STORAGE NACELLE APPARATUS, SYSTEMS AND METHODS
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR101816239B1 (ko) * 2017-07-24 2018-01-09 한국건설기술연구원 지반 테스트가 가능한 진공 챔버를 구비한 테스트 장치 및 이를 이용한 테스트 방법
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
TWI695170B (zh) * 2019-09-03 2020-06-01 尹鑽科技有限公司 檢測裝置及使用該檢測裝置之檢測方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111977290A (zh) * 2020-08-24 2020-11-24 台州市老林装饰有限公司 一种光刻设备的晶圆储存盒输送小车
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230035556A1 (en) * 2021-07-29 2023-02-02 Taiwan Semiconductor Manufacturing Company Limited Portable robotic semiconductor pod loader
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4856904A (en) * 1985-01-21 1989-08-15 Nikon Corporation Wafer inspecting apparatus
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
JPH1187460A (ja) * 1997-09-09 1999-03-30 Dainippon Screen Mfg Co Ltd 基板収納容器供給装置
JP3664897B2 (ja) 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
JP3927018B2 (ja) * 2001-11-28 2007-06-06 株式会社ディスコ 切削装置
JP2003249537A (ja) 2002-02-22 2003-09-05 Tadashi Kamimura 開きドア方式でfoupドアを開閉保持するfoupオープナー
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
WO2003096410A1 (fr) * 2002-05-10 2003-11-20 Tokyo Electron Limited Dispositif de traitement de substrat
JP4168724B2 (ja) * 2002-10-15 2008-10-22 神鋼電機株式会社 ロードポート
CN100413047C (zh) * 2005-01-28 2008-08-20 大日本网目版制造株式会社 基板处理装置
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
JP4166813B2 (ja) * 2006-05-11 2008-10-15 東京エレクトロン株式会社 検査装置及び検査方法
JP4194051B2 (ja) * 2006-05-31 2008-12-10 Tdk株式会社 防塵機能を備えたロードポート装置及びミニエンバイロンメントシステム
JP4848916B2 (ja) 2006-10-02 2011-12-28 シンフォニアテクノロジー株式会社 クランプ機構
JP5003292B2 (ja) * 2006-11-07 2012-08-15 シンフォニアテクノロジー株式会社 搬送システム
JP2008117986A (ja) * 2006-11-07 2008-05-22 Shinko Electric Co Ltd ロードポート
JP4985171B2 (ja) * 2007-07-19 2012-07-25 シンフォニアテクノロジー株式会社 ロードポート装置の取付装置
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置

Also Published As

Publication number Publication date
US20100040441A1 (en) 2010-02-18
KR101279318B1 (ko) 2013-06-26
TW201013826A (en) 2010-04-01
TWI503915B (zh) 2015-10-11
CN101651112B (zh) 2012-07-04
JP2010067940A (ja) 2010-03-25
KR20100020929A (ko) 2010-02-23
US8267633B2 (en) 2012-09-18
CN101651112A (zh) 2010-02-17

Similar Documents

Publication Publication Date Title
JP5338335B2 (ja) 搬送容器の開閉装置及びプローブ装置
US7859283B2 (en) Probe apparatus, probing method, and storage medium
JP5381118B2 (ja) プローブ装置
JP4725650B2 (ja) プローブ装置
JP6447553B2 (ja) プローバ
US20080240891A1 (en) Transfer and inspection devices of object to be inspected
JP7033250B2 (ja) プローバ
US8726748B2 (en) Probe apparatus and substrate transfer method
JP7217636B2 (ja) チャックトップ、検査装置、およびチャックトップの回収方法
JP4910033B2 (ja) プローブ装置
KR102326021B1 (ko) 도어 이송 장치
JP2011108832A (ja) プローブ装置
JP2011100884A (ja) 基板搬送方法
JP2011009255A (ja) アダプタユニット内蔵ローダ室
JP2004363455A (ja) ウエハ検査装置
JP2020074496A (ja) プローバ
KR20230156413A (ko) 처리 장치 및 위치 결정 방법
KR20090006414A (ko) 로봇암 얼라인 장치 및 이를 구비하는 반도체 제조 설비

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130513

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130709

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130722

R150 Certificate of patent or registration of utility model

Ref document number: 5338335

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250