KR20000034872A - 기판 처리장치 및 기판 처리방법 - Google Patents

기판 처리장치 및 기판 처리방법 Download PDF

Info

Publication number
KR20000034872A
KR20000034872A KR1019990022238A KR19990022238A KR20000034872A KR 20000034872 A KR20000034872 A KR 20000034872A KR 1019990022238 A KR1019990022238 A KR 1019990022238A KR 19990022238 A KR19990022238 A KR 19990022238A KR 20000034872 A KR20000034872 A KR 20000034872A
Authority
KR
South Korea
Prior art keywords
boat
mounting portion
opening
heat treatment
lid
Prior art date
Application number
KR1019990022238A
Other languages
English (en)
Other versions
KR100549786B1 (ko
Inventor
이시이가츠미
Original Assignee
히가시 데쓰로
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론 주식회사 filed Critical 히가시 데쓰로
Publication of KR20000034872A publication Critical patent/KR20000034872A/ko
Application granted granted Critical
Publication of KR100549786B1 publication Critical patent/KR100549786B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • Y10S414/138Wafers positioned vertically within cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 처리장치가 바닥부와 이 바닥부에 구비된 개구(4a)를 갖춘 종형 열처리로(4)를 갖는다. 상하 다단으로 기판(W)을 지지하는 보트(3)가 제1뚜껑(17)상에 탑재될 수 있고, 제1뚜껑(17)이 그것상으로 탑재된 보트(4)와 함께 종형 열처리로(4)의 개구(4a)를 개폐할 수 있다. 또한, 처리장치는 보트(3)와 다른 보트(3)가 탑재될 수 있는 보트 탑재부(19)와, 보트 탑재부(19)와 제1뚜껑(17) 사이에서 교대로 2개의 보트(3)를 반송하기 위한 보트 반송기구(21)를 갖는다. 제1뚜껑(17)이 개구(4a)를 개방함에도 불구하고 개구(4a)를 통해서 통과하는 보트(3)가 없을 때, 제2뚜껑(18)이 종형 열처리로(4)의 개구(4a)를 폐쇄한다. 제1뚜껑(17)이 개구(4a)로부터 벗어나고 보트(3)가 반출될 때, 처리장치는 개구(4a)로부터의 바람직하지 않은 영향을 효과적으로 감소시킬 수 있고, 열처리로(4)의 내부의 온도가 낮아지는 것을 방지시킴으로써 소모된 에너지를 감소시킬 수 있다.

Description

기판 처리장치 및 기판 처리방법{PROCESSING UNIT AND PROCESSING METHOD FOR SUBSTRATE}
본 발명은 기판 처리장치 및 기판 처리방법에 관한 것이다.
반도체 장치의 제조를 위한 처리는 피처리 기판인 반도체 웨이퍼에, 예컨대 산화나 확산 CVD(화학 증기 증착) 등의 각종 처리를 실시하는 공정을 포함한다. 이와 같은 다양한 처리를 행하는데 사용되는 장치가 종형 열처리장치이다. 이 종형 열처리장치는 오퍼레이터나 자동 반송기구가 복수 매의 반도체 웨이퍼를 수용한 캐리어를 지지하여 운반하는 캐리어 반송영역과, 캐리어내의 반도체 웨이퍼가 열처리를 위한 지지대로서의 보트로 이동되어 교체되고(移替) 열처리로로의 반입 반출을 행하는 로딩영역을 구비하여 구성된다.
이러한 종형 열처리장치에 있어서는, 대기에 노출되는 캐리어 반송영역과, 로딩영역 사이에 격벽이 제공되는 것이 바람직하다. 격벽은 로딩영역이 청정하게 남도록 하고, 반도체 웨이퍼상에 자연 산화막이 발생되는 것을 방지한다. 로딩영역을, 예컨대 질소와 같은 불활성가스로 충만시키는 것이 바람직하다. 또한, 상기 종형 열처리장치에는 반도체 웨이퍼의 입자에 의한 오염을 방지하기 위해서 반도체 웨이퍼의 취출구가 뚜껑에 의해서 밀폐되는 밀폐형 캐리어를 적용하는 것이 더욱 바람직하다.
개구를 갖는 캐리어 탑재부가 캐리어 반송영역과 로딩영역을 격리하는 격벽에 설치한다. 이 개구는 캐리어 반송영역측상에서 캐리어와 당접하기 위해서 적용된다. 그 개구를 개폐하는 도어가 로딩영역측에 구비된다. 반도체 웨이퍼를 처리할 때, 캐리어의 뚜껑이 캐리어 탑재부와 당접하도록 정렬되고, 도어와 두껑을 열어 캐리어의 내부를 로딩영역측과 연통시킨다. 그 다음, 반도체 웨이퍼는 개구를 경유하여 캐리어의 내부로부터 로딩영역내의 보트로 반송된다. 그 다음, 이 보트를 열처리로 내로 반입시켜 소정의 열처리를 행한다.
이하에서는, 2개의 보트가 사용된다. 이중 하나의 보트는 상승가능한 뚜껑상에 탑재되어 열처리로의 개구를 개폐할 수 있다. 이 보트는 열처리로로 반입된다. 로의 개구를 밀폐하고 보트내의 반도체 웨이퍼가 열처리되는 동안, 다른 반도체 웨이퍼는 다른 쪽의 보트로 이동되어 교체된다. 이것은 종형 열처리장치의 처리량을 향상시킨다.
예컨대, 300mm 직경의 큰 반도체 웨이퍼용 종형 열처리장치는 대용량인 동시에 대구경의 개구를 필요로 한다. 따라서, 열처리 후, 개구가 개방되고 로내의 보트가 반출되며 이어서 보트가 로내로 반입되는 동안, 로의 다량의 열이 개구로부터 로딩영역으로 방출된다. 이것은 로딩영역의 온도를 상승시키고, 로딩영역내의 기기(器機) 등에 영향을 미친다. 더욱이, 종형 열처리로의 내부를 소정의 온도 이상으로 유지시켜야 하기 때문에, 소모되는 에너지가 많게 된다.
예컨대, 300mm로의 반도체 웨이퍼 직경의 증가는, 종형 열처리장치의 폭과 깊이 및 높이를 증대시킨다. 종형 열처리장치를 설치하기 위한 바닥의 공간 및 천정 높이도 또한 증대된다. 이들은 종형 열처리장치의 생산비용이나 유지비용을 증대시킨다. 그러므로, 할 수 있는 한 소형화된 종형 열처리장치가 요구된다.
종형 열처리장치로 반도체 웨이퍼를 처리하는 능력을 향상시키기 위해서, 열처리가 다른 보트에 대해 수행되는 동안, 반도체 웨이퍼가 이동되어 교체되는 하나의 보트를 탑재하기 위한 보트 탑재부가 로딩영역에 설치되고, 보트 탑재부상의 보트와 캐리어 탑재부에서의 캐리어 사이에서 반도체 웨이퍼를 반송하기 위한 이재(移載)기구가 로딩영역에 설치되며, 보트 탑재부와 뚜껑 사이에서 보트를 이동하여 교체하기 위한 보트 반송기구가 로딩영역내에 설치된다. 이 경우, 보트의 이동궤적과 이재기구의 점유공간을 오버랩(overlap)시키는 것이 종형 열처리장치를 최소화시키는데 효과적이다. 그러나, 이 경우에 있어서, 보트와 반송기구가 바람직하지 않게 간섭되는 가능성이 있다.
더욱이, 보트 탑재부와 뚜껑 사이에서 2개의 보트가 반송되는 동안, 열처리 전의 보트가 열처리 후의 보트의 바람의 영향을 받는 경우가 있다. 이 경우, 열처리전의 보트에 있는 반도체 웨이퍼는 열처리 후의 다른 보트로부터의 입자나 가스로 오염될 우려가 있다.
본 발명의 목적은 개구로부터 뚜껑이 개방되어 열처리 후의 보트가 반출될 때, 로의 개구로부터 방출되는 열에 의해 야기되는 바람직하지 않은 영향을 감소시킬 수 있는 종형 열처리장치를 제공하는 것이다.
본 발명의 다른 목적은, 보트가 반송될 때 보트와 이재기구의 간섭을 방지하기 위해서, 보트의 이동궤적으로부터 이재기구를 횡방향으로 퇴피(退避)시키고, 보트의 이동궤적과 기판의 이재기구의 점유공간을 오버랩시킴으로써, 기판의 처리능력을 향상시키는 것이다.
본 발명의 다른 목적은, 캐리어 탑재부의 수직방향 중심선을 따라서 노치정렬기구를 설치하고, 높이를 증가시키지 않고 폭 및 깊이를 감소시킴으로써, 종형 열처리장치의 소형화를 달성하는 것이다.
본 발명의 다른 목적은 보트 반송시에 기판의 오염이 제한될 수 있는 보트 반송방법을 제공하는 것이다.
도 1은 본 발명의 1실시예의 계략적인 사시도,
도 2는 도 1의 종형 열처리장치의 계략적인 횡단면도,
도 3은 도 1의 종형 열처리장치의 계략적인 종단면도,
도 4는 도 1의 캐리어 탑재부와 노치 정렬기구의 정면도,
도 5는 도 1의 보트 반송기구의 계략적인 사시도,
도 6은 보트 반송방법을 설명하기 위한 계략적인 평면도,
도 7은 본 발명의 종형 열처리장치의 다른 실시예의 계략적인 사시도,
도 8은 도 7의 종형 열처리장치의 계략적인 평면도,
도 9는 도 7의 이재기구의 계략적인 측면도,
도 10은 이재기구의 동작을 나타내는 설명도이다.
상기와 같은 목적을 달성하기 위해서, 본 발명은 바닥부와 이 바닥부에 구비된 개구를 갖춘 열처리로와, 기판을 상하 다단으로 지지하는 보트가 탑재되고, 탑재된 보트와 함께 종형 열처리로의 개구를 개폐시킬 수 있는 제1뚜껑, 보트 및 다른 보트가 탑재될 수 있는 보트 탑재부, 보트 탑재부와 제1뚜껑 사이에서 2개의 보트를 교대로 반송하기 위한 보트 반송기구 및, 제1뚜껑이 개구를 개방함에도 불구하고 개구를 통해 통과하는 보트가 없을 때, 종형 열처리로의 개구를 폐쇄하기 위한 제2뚜껑을 구비하여 구성된 것을 특징으로 하는 기판 처리장치이다.
본 발명에 의하면, 열처리장치는 제1뚜껑이 개구로부터 옮겨지고 보트가 반출될 때 개구로부터의 바람직하지 않은 영향을 효과적으로 감소시킬 수 있고, 또한 열처리장치의 내부온도가 떨어지는 것을 방지시킴으로써 소모된 에너지를 감소시킬 수 있다.
바람직하게는, 제2뚜껑은 개구를 개방하기 위해서, 종형 열처리로의 개구의 외측표면에 대해서 종방향 및 횡방향으로 움직일 수 있는 셔터이다.
바람직하게는, 처리장치는 기판을 수용하는 캐리어가 탑재될 수 있는 캐리어 탑재부와, 캐리어 탑재부상에 탑재된 캐리어와 보트 탑재부상에 탑재된 보트 사이에서 기판을 이동 탑재하기 위한 이재기구를 더 구비하여 구성된다. 이 경우에 있어서, 보트 반송기구에 의해 보트가 반송될 때, 이재기구가 보트와 간섭되지 않도록, 바람직하게는 이재기구가 수축될 수 있다. 이 경우에 있어서, 처리장치는 적절하게 최소화될 수 있다.
바람직하게는, 기판에 구비된 노치를 정렬하기 위해서, 캐리어 탑재부의 수직 중심선을 따라 노치 정렬기구가 설치된다. 이 경우, 처리장치의 폭과 깊이 및 높이가 효과적으로 감소될 수 있으므로, 기판을 이동 탑재하기 위한 시간이 실질적으로 감소된다.
바람직하게는, 보트 반송기구가 회전축과 선단부를 갖추고, 상하로 승강되고 회전축 주위로 수평하게 회전될 수 있는 제1암과, 선단부 주위로 수평하게 회전될 수 있도록 선단부에서 지지되고, 보트의 중심이 제1암의 회전축에 걸쳐 통과되도록 보트를 수직으로 지지하고 보트를 반송시킬 수 있는 제2암을 포함하고, 제1암과 제2암을 동시에 회전시킴으로써, 제1암의 회전축에 대해서 방사방향(radial direction)으로 보트를 반송할 수 있다. 이 경우, 보트를 반송하기 위한 공간을 최소화 할 수 있으므로, 처리장치의 폭과 깊이를 실질적으로 감소시킬 수 있다.
바람직하게는, 이재기구는 보트 반송기구상에서 상하로 움직일 수 있다.
바람직하게는, 송풍장치는 세정가스 흐름을 만들기 위해서 보트 탑재부에 인접하게 배치된다. 이 경우, 보트 탑재부가 제1탑재부와, 이 제1탑재부와 제1뚜껑 사이에 설치된 제2탑재부를 갖추고, 송풍장치에 의해서 만들어진 세정가스 흐름에 대해서 제2탑재부의 바람의 영향아래서 보트가 통과 되도록, 제2탑재부로부터 제1뚜껑으로, 제2탑재부로부터 제1뚜껑으로, 제1뚜껑으로부터 제1탑재부로 보트를 반송하도록 보트 반송기구가 동작된다.
더욱이, 본 발명은, 바닥부와 이 바닥부에 구비된 개구를 갖춘 종형 열처리로와, 기판을 상하 다단으로 기판을 지지하는 보트가 탑재되고 탑재된 보트와 함께 종형 열처리로의 개구를 개폐할 수 있는 제1뚜껑, 제1탑재부와 이 제1탑재부와 제1뚜껑 사이에 설치된 제2탑재부를 갖춘 보트 탑재부, 제1뚜껑이 개구를 개방함에도 불구하고 개구를 통해 통과하는 보트가 없을 때 종형 열처리로의 개구를 폐쇄하기 위한 제2뚜껑, 기판을 수용하는 캐리어가 탑재될 수 있는 캐리어 탑재부, 캐리어 탑재부상에 탑재된 캐리어와 보트 탑재부상에 탑재된 보트사이에서 기판을 이동 탑재하기 위한 이재기구, 세정가스 흐름을 만들기 위해서 보트 탑재부에 인접하게 배치된 송풍장치, 송풍장치에 의해 만들어진 세정가스 흐름에 대해서 제2탑재부의 바람의 영향 아래서 보트가 통과하도록, 제1탑재부로부터 제2탑재부로, 제2탑재부로부터 제1뚜껑으로, 제1뚜껑으로부터 제1탑재부로 보트를 반송하기 위한 보트 반송기구를 구비하여 기판 처리장치가 구성되고, 보트 반송기구에 의해서 제1부로부터 제2부로 제1보트를 반송하는 단계와, 송풍장치에 의해서 만들어진 세정가스 흐름에 대해서 제2탑재부의 바람의 영향 아래서 제2보트가 통과되도록, 보트 반송기구에 의해서 제1뚜껑으로부터 제1부로 제2보트를 반송하는 단계 및, 보트 반송기구에 의해서 제2부로부터 제1뚜껑으로 제1보트를 반송하는 단계를 구비하여 이루어진 것을 특징으로 하는 기판 처리장치를 이용하는 기판 처리방법이다.
이하, 본 발명이 첨부된 예시도면 도 1 내지 도 10을 참조로 상세히 설명한다.
도 1은 본 발명의 종형 열처리장치의 계략적인 사시도이고, 도 2는 도 1의 종형 열처리장치의 계략적인 평면도이며, 도 3은 도 1의 종형 열처리장치의 계략적인 종단면도이고, 도 4는 도 1의 캐리어 탑재부와 노치 정렬기구의 계략적인 정면도이며, 도 5는 도 1의 보트 반송기구의 계략적인 사시도이고, 도 6은 보트를 반송하는 방법을 나타낸 계략적인 평면도이다.
이러한 도면에서, 참조부호 1은 크린룸내에 설치된 종형 열처리장치의 외측벽을 형성하는 하우징이다. 하우징(1)의 내부는 격벽(5;bulkhead)에 의해 캐리어(2)의 반입 반출과 보관 등을 행하기 위한 캐리어 반송영역(Sa)과, 캐리어(2)내에 수용된 반도체 웨이퍼(W; 피처리 기판이라 함)가 종형 열처리로(4)로 반입 반출되는 보트(3)로 이동되어 교체되는 로딩영역(Sb)으로 분할된다.
도 1과 도 2에 나타낸 바와 같이, 하우징(1)의 전면부에는 오퍼레이터나 자동 반송 로버트에 의해 캐리어(2)를 반입 반출하기 위한 반입출구(6)가 구비된다. 도 2와 도 3에 나타낸 바와 같이, 반입출구(6)에는 상하로 움직여 반입출구(6)를 개폐할 수 있는 도어(7)가 구비된다. 캐리어 반송영역(Sa)내에는, 그것상으로 캐리어(2)를 탑재하기 위한 탑재대(8)가 반입출구(6) 근방에 제공된다. 도 1과 도 3에 도시된 바와 같이, 센서기구(9)가 캐리어의 뚜껑(도시되지 않았음)을 개방하고, 다수의 반도체 웨이퍼(W)의 위치를 검출하기 위해 탑재대(8)의 후방부에 구비된다. 도 1 내지 도 3에 도시된 바와 같이, 복수개의 캐리어(2)를 보관하기 위해서 선반형상 보관부(10)가 탑재대(8)의 위쪽 및 격벽(5)의 상부 근방에 형성된다.
도 3에 도시된 바와 같이, 반도체 웨이퍼를 이동 탑재하기 위해 그것상으로 캐리어(2)를 탑재하기 위한 테이블로서 다수의, 예컨대 2개의 캐리어 탑재부(11;트랜스퍼 스테이지(transfer stage)라 함)가 격벽측(5)상의 이격된 위치에 상하로 제공된다. 따라서, 다른 쪽의 캐리어 탑재부에서 반도체 웨이퍼(W)가 다른 캐리어(2)로 이동 탑재되는 동안, 한쪽의 캐리어 탑재부에서 한쪽의 캐리어(2)가 교환될 수 있으므로, 처리장치의 생산성을 향상시킬 수 있다. 탑재대(8)와 보관부(10) 및 캐리어 탑재부(11)사이에서 캐리어(2)의 반송을 행하기 위해서, 캐리어 반송기구(12)가 캐리어 반송영역(Sa)에 설치된다.
도 2에 도시된 바와 같이, 캐리어 반송기구(12)는 캐리어 반송영역(Sa)측에 구비된 승강기구(12a)에 의해 승강될 수 있는 승강암(12b)과, 캐리어(2)의 바닥부를 지지하여 횡방향으로 반송하기 위한 상기 승강암(12b)상에 탑재된 반송암(12c)을 구비하여 구성된다.
캐리어(2)는 다수의, 예컨대 13 혹은 25매의 반도체 웨이퍼(W)를 수용하고 뚜껑(도시되지 않았음)에 의해 밀폐되는, 소위 클로즈형 캐리어이다. 캐리어(2)는 다단의 수평상태로 그리고 상하로 이격된 반도체 웨이퍼(W)를 수용 및 지지하기 위한 휴대용 플라스틱 용기를 구비하여 구성된다. 반도체 웨이퍼(W)의 직경은, 예컨대 300mm이다. 뚜껑(도시되지 않았음)은 웨이퍼 취출구를 기밀하게 막도록 캐리어(2)의 전방부에 형성된 웨이퍼 취출구에 탈착 가능하게 구비된다.
필터를 통해 공급된 청정한 공기가 캐리어 반송영역(Sa)으로 공급되므로, 캐리어 반송영역(Sa)이 청정한 공기로 채워진다. 한편, 청정한 공기가 로딩영역(Sb)으로 또한 공급되므로 로딩영역(Sb)이 청정한 공기로 채워지거나, (N2)와 같은 불활성가스가 로딩영역(Sb)으로 공급되므로 로딩영역(Sb)이 불활성가스로 채워진다. 도 1에 도시된 바와 같이, 격벽(5)은 2개의 상부 및 하부 개구(13)를 갖는 바, 이들 각각의 캐리어(2)가 캐리어 반송영역(Sa)측에 당접하도록 적용된다. 개구(13)는 캐리어 탑재부(11) 각각에 대응된다. 각 개구(13)에는 개구(13)를 개폐하기 위한 도어(14)가 구비된다. 개구(13)의 크기가 실질적으로 캐리어(2)의 웨이퍼-취출구와 동일하도록 형성되므로, 반도체 웨이퍼(W)가 개구(13) 및 웨이퍼-취출구를 통해 캐리어(2)로 반입 및 반출될 수 있다.
각 도어(14)에는 캐리어(2)의 뚜껑을 개폐하기 위한 뚜껑 개폐기구(도시되지 않았음)가 구비된다. 또한, 각 도어(14)는 로딩영역(Sb)측상에서 도어(14)를 개폐하기 위한 도어 개폐기구(도시되지 않았음)와 연결된다. 도어 개폐기구는 도어(14) 및 뚜껑을 로딩영역(Sb)측을 향해 이동시키고, 더욱이 이들을 반도체 웨이퍼(W)의 이동 교체에 장애되지 않도록 상부 혹은 하부 쪽으로 이동(퇴피)시키도록 적용된다. 도 3에 도시된 바와 같이, 캐리어 탑재부(11)의 아래 및 캐리어 탑재부(11)의 수직 중심선을 따라서, 예컨대 반도체 웨이퍼(W)의 결정방향을 정렬하기 위해서 반도체 웨이퍼의 주연부에 구비되는 노치(절개부)를 한쪽 방향으로 정렬하기 위한 노치 정렬기구(15)가 구비된다. 노치 정렬기구(15)는 로딩영역(Sa)측에 개구를 갖는다. 노치 정렬기구(15)는 이재기구(22)에 의해 캐리어 탑재부(11)상의 캐리어(2)로부터 이동 탑재된 반도체 웨이퍼(W)의 노치를 정렬하도록 적용된다.
노치 정렬기구(15)는 상하로 이격된 위치에 2개의 장치를 갖추는 바, 각각의 장치는 2매의 반도체 웨이퍼(W)의 2개의 노치를 정렬할 수 있다. 따라서, 다른쪽의 장치가 다른 반도체 웨이퍼(W)를 정렬하는 동안 하나의 장치가 정렬된 반도체 웨이퍼(W)를 보트(3)로 이동 탑재시킬 수 있음에 따라, 처리장치의 생산성이 향상될 수 있다. 장치는 다수의, 예컨대 3 혹은 5매의 반도체 웨이퍼(W)를 동시에 정렬하도록 적용될 수 있다. 노치 정렬기구(15)에 의해 정렬되는 반도체 웨이퍼의 중심선이 캐리어 탑재부(11)상에 탑재된 캐리어(2)내의 반도체 웨이퍼(W)의 중심선과 일치하도록 적용된다. 처리장치의 폭과 깊이 및 높이가 실질적으로 감소될 수 있으므로, 반도체 웨이퍼의 이동 탑재 시간이 실질적으로 감소된다.
열처리로(4)가 로딩영역(Sb)내의 후방 상부에 배치된다. 열처리로(4)는 그것의 바닥부에 로의 개구(4a)를 갖는다. 뚜껑(17)이 로(4)의 바닥에 구비된다. 보트(3)를 로(4)로 반입 반출하기 위해서 그리고 개구(4a)를 개폐하기 위해서, 뚜껑(17)이 승강기구(도시되지 않았음)에 의해 상하로 움직이도록 적용된다. 다수의, 예컨대 100 혹은 150매의 상하 동일 간격으로 이격된 다단의 반도체 웨이퍼(W)를 지탱할 수 있는 보트(3)가 뚜껑(17)상에 놓이도록 적용된다. 보트(3)는 석영 등으로 제조된다. 열처리로(4)에는 열처리 후 뚜껑이 개방되고 보트(3)가 반출된 때, 개구(4a)를 폐쇄하기 위해서, 개구(4a)에 셔터(18)가 구비된다. 수평방향으로 선회하며 개구(4a)를 개폐하도록 셔터(18)가 적용된다. 셔터(18)를 선회시키기 위해서, 셔터 구동기구(18a)가 구비된다.
도 2에 도시된 바와 같이, 반도체 웨이퍼(W)가 보트(3)로 이동되어 교체될 때, 그것 상으로 보트(3)를 탑재하기 위한 보트 탑재부(19;보트 스테이지)가 로딩영역(Sb)의 측면 영역에 인접하여 배치된다. 보트 탑재부(19)는 제1탑재부(19a)와, 이 제1탑재부(19a)와 제1뚜껑(17) 사이에 배치된 제2탑재부(19b)를 갖는다. 로딩영역(Sb)내의 순환 가스(청정한 공기나 불활성가스)를 필터를 매개로 세정하고 세정가스 흐름을 만들기 위해서, 보트 탑재부(19) 근방에는 송풍장치(20)가 배치된다.
보트 탑재부(19)와 뚜껑(17) 사이에서 보트(3)를 반송하기 위해서, 로딩영역(Sb)내의 저부에서 캐리어 탑재부(11)와 열처리로(4) 사이에 보트 반송기구(21)가 설치된다. 특히, 제1탑재부(19a) 혹은 제2탑재부(19b)와 뚜껑사이와, 제1탑재부(19a)와 제2탑재부(19b) 사이에서 보트(3)를 반송하기 위해 보트 반송기구(21)가 설치된다.
캐리어 탑재부(11)상의 캐리어(2)와 보트 탑재부(19)상의 보트(3) 사이, 특히 캐리어 탑재부(11)상의 캐리어(2)와 노치 정렬기구(15)사이와, 노치 정렬기구(15)와 보트 탑재부(19)의 제1탑재부(19a)상의 보트(3) 사이 및, 제1탑재부(19a)상의 열처리 후의 보트(3)와 캐리어 탑재부(11)상의 빈 캐리어(2) 사이에서 반도체 웨이퍼(W)를 이동하여 교체하기 위한 이재기구(22)가 보트 반송기구(21)상에 설치된다.
도 2에 도시된 바와 같이, 보트 반송기구(21)는 수직으로 하나의 보트(3)를 지지하여 수평하게 움직일(신축) 수 있는 암을 갖는다. 특히, 도 5에 도시된 바와 같이, 보트 반송기구(21)는 회전축과 선단부를 갖고 회전축 주위로 승강 및 수평하게 선회할 수 있는 제1암(21a)을 갖는다. 또한, 보트 반송기구(21)는 지지암(21b)이 선단부 주위로 수평하게 회전할 수 있도록 제1암(21a)의 선단부에서 지지되는 C자 형상의 지지암(21b)을 갖는다. C자 형상 지지암(21b)은, 그 중심이 제1암(21a)의 회전축을 통과하도록 설계되고, 보트(3)를 수직으로 지지하는 개구(21c)를 형성한다. 즉, 보트의 중심이 제1암(21a)의 회전축을 통해 통과될 수 있다. 따라서, 제1암(21a)과 지지암(21b)을 동기 회전시킴으로써, 보트(3)가 제1암(21a)의 회전축에 대해 방사방향(수평선 방향)으로 반송될 수 있다. 그러므로, 보트를 반송하기 위한 영역이 가능한한 최소로 될 수 있으므로, 처리장치의 폭과 깊이가 감소될 수 있다.
도 5에서, 보트 반송기구(21)는 화살표 방향으로 움직일 수 있다. 도 6에서 화살표에 의해 나타낸 바와 같이, 보트 반송기구(21)는 보트(3)를 반송한다. 특히, 보트 반송기구(21)는 제1탑재부(19a)상에서 대기하는 열처리 전의 보트(3)를 제2탑재부(19b)로 반송한다 (①). 그 다음, 보트 반송기구(21)는 열처리 후의 보트(3)를 제1뚜껑(17)으로부터 제1탑재부(19a)로 반송한다 (②). 마지막으로, 보트 반송기구(21)는 제2탑재부(19b)상의 열처리 전의 보트(3)를 뚜껑(17)상으로 반송한다 (③). 도 6에 도시된 바와 같이, 열처리 후의 보트(3)는 송풍장치(20)에 의해 만들어진 세정가스 흐름에 대해서 제2탑재부(19b)의 바람의 영향하에서 통과할 수 있다. 따라서, 열처리 전의 보트(3)는 열처리 후의 다른 보트(3)의 바람의 영향하에서는 전혀 통과하지 않게 된다. 그러므로, 보트가 반송될 때, 열처리 전의 보트(3)내의 반도체 웨이퍼(W)가 열처리 후의 보트(3)로부터 발생되는 입자나 가스에 의해 오염되는 것이 방지된다.
도 2에 도시된 바와 같이, 이재기구(22)는 회전 샤프트 주위로 수평회동 가능한 기본 탑재대(22a)와, 진퇴가능하고 반도체 웨이퍼를 지지하기 위한 다수개, 예컨대 2 혹은 5매의 박판 포크 형상인 기본 탑재대(22a)상에 구비된 지지암(22b)을 갖는다. 이재기구(22)는 도 2에서 가상선으로 나타낸 작업위치(A)로부터 실선으로 나타낸 퇴피위치(B)까지 선회암(23)을 매개로 횡방향으로 후퇴(퇴피)할 수 있다. 선회암(23)의 기부(基部) 선단부는 로딩영역(Sb)의 다른 측면 영역에 구비된 도시되지 않은 승강기구에 연결된다. 따라서, 이재기구(22)는 상하로 움질일 수 있다. 이재기구(22)가 하한으로 강하될 때, 기본 탑재대(22a)의 저부 돌기부(22c)가 강하 대기상태의 보트 반송기구(21)의 지지암(21b)의 개구(21c)내에 수용될 수 있다. 따라서, 이재기구(22)와 보트 반송기구(21)의 간섭이 방지되고, 이재기구(22)의 하한 스트로크가 작은 영역내에 설정된다.
이하, 종형 열처리장치의 동작을 상세히 설명한다. 또한 보트의 반송방법도 함께 설명한다. 캐리어(2)가 취출구(6)를 통해 탑재대(8)상에 위치될 때, 센서기구(9)는 캐리어(2)의 탑재상태를 탐지한다. 그 다음, 캐리어(2)의 뚜껑이 개방되고, 센서기구(9)가 캐리어(2)내의 다수의 반도체 웨이퍼(W)의 위치를 탐지한다. 그 다음, 캐리어(2)의 뚜껑이 다시 폐쇄되고, 캐리어(2)가 캐리어 이재기구(12)를 매개로 보관부(10)로 반송된다.
그 다음, 보관부(10)에 저장된 캐리어(2)는 캐리어 반송기구(12)를 매개로 캐리어 탑재부(11)상으로 적절한 시간에 반송된다. 캐리어 탑재부(11)상에서 캐리어 뚜껑(2) 및 격벽(5) 개구(13)의 도어(14)가 개방된 후, 이재기구(22)는 캐리어(2)로부터 반도체 웨이퍼(W)를 취출한다. 그 다음, 이재기구(22)는 이들을 노치 정렬기구(15)를 매개로 탑재부(19)의 제1탑재부(19a)에 탑재된 빈 보트(3)로 연속적으로 이동 탑재시킨다. 반도체 웨이퍼(W)가 이동 탑재되는 동안, 보트 반송기구(21)는 이재기구(22)로부터 하강하여 퇴피되므로, 보트 반송기구(21)와 이재기구(22)의 간섭이 방지된다. 노치 정렬기구(15)가 캐리어 탑재부(11)의 중심선(16)상에 설치됨에 따라, 종형 열처리장치의 폭이 감소될 수 있으므로, 처리장치가 소형화 될 수 있다. 더욱이, 반도체 웨이퍼의 이동 탑재를 위한 시간이 감소될 수 있으므로, 처리장치의 생산성이 실질적으로 향상될 수 있다.
반도체 웨이퍼(W)의 이동 탑재가 종료된 후, 이재기구(22)는 선회암(23)에 의해 작업위치(A)로부터 하우징(1)의 다른 측면영역내의 퇴피위치(B)로 후퇴(퇴피)된다.
열처리가 완료된 후, 뚜껑(17)은 하강되고, 열처리 후의 보트(3)가 노(4)로부터 로딩영역(Sb)으로 반출된다. 뚜껑(17)이 보트(3)를 옮긴 후, 셔터(18)가 즉시 로의 개구(4a)를 폐쇄한다. 따라서, 열처리로(4)의 개구(4a)로부터 로딩영역(Sb)으로 적은 양의 열이 방출되므로, 로딩영역(Sb)내의 기기로의 열영향을 방지할 수 있다. 도 6에 도시된 바와 같이, 열처리 후의 보트(3)가 로(4)로부터 반출된 후, 보트 반송기구(21)가 우선 제1탑재부(19a)상에 대기하는 열처리 전의 다른 보트(3)를 제2탑재부(19b)로 반송한다 (①). 그 다음, 보트 반송기구(21)는 열처리 후의 보트(3)를 제1뚜껑(17)으로부터 제1탑재부(19a)로 반송한다 (②). 그 다음, 보트 반송기구(21)는 제2탑재부(19b)상의 열처리전의 보트(3)를 뚜껑(17)상으로 반송한다. 즉, 보트 반송기구(21)는 열처리전의 보트(3)가 열처리 후의 보트(3)의 바람의 영향이 미치는 쪽을 통과하지 않도록 보트(3)를 반송시킨다. 그러므로, 보트(3)의 반송시에, 열처리 전의 보트(3)내의 반도체 웨이퍼(W)가 열처리 후의 보트(3)로부터 발생하는 입자나 가스에 의해 오염되는 것이 방지된다. 열처리 후의 보트(3)를 반출한 직후에는 열처리 전의 보트(3)가 열처리 후의 보트(3)로부터 더 멀리 떨어진 위치에 있게 된다. 따라서, 열처리 후의 보트(3)로부터 열처리 전의 보트(3)로의 열영향이 억제되거나 방지될 수 있다.
보트(3) 반송중에는, 이재기구(22)가 보트 반송기구(21)로부터 상방향이 아니라 횡방향으로 퇴피되므로, 반송중의 보트(3)와 이재기구(22)가 서로 간섭하는 것을 효과적으로 방지한다. 이재기구(22)가 상방향이 아닌 횡방향으로 퇴피하도록 구성되므로, 수평방향으로 개폐 이동하는 셔터(18)와 이재기구(22)가 서로 간섭하지 않는다.
열처리 전의 보트(3)가 뚜껑(17)상으로 반송된 후, 보트(3) 및 뚜껑(17)이 셔터(18)가 개방된 개구(4a)를 통해 로(4)로 도입된다. 다음 열처리가 보트(3)내의 반도체 웨이퍼(W)상에서 행해진다. 한편, 열처리 후의 보트(3)가 제1탑재부(19a)상으로 반송된 후, 보트(3)내의 처리된 반도체 웨이퍼(W)가 이재기구(22)에 의해 보트(3)로부터 캐리어 탑재부(11)상의 빈 캐리어(2)로 되돌려진다. 그 다음, 상기 사이클이 반복된다.
본 발명의 다른 실시예가 도 7 내지 도 10을 참조로 상세히 설명된다. 도 7은 본 발명의 종형 열처리장치의 다른 실시예의 대략적인 사시도이다. 도시의 편리성을 위해서, 처리장치의 외부 및 내측벽은 도 7에 도시되지 않았다. 보트 반송기구(105)는 제1탑재부로부터 보트 승강기(141)상으로 웨이퍼 보트(142)를 반송하기 위한 제1선회암(151)과, 보트 승강기(141)로부터 제2탑재부로 웨이퍼 보트(142)를 반송하기 위한 제2선회암(152) 및, 제2탑재부로부터 제1탑재부로 웨이퍼 보트(142)를 반송하기 위한 보트 이동기구(153)를 구비하여 구성된다.
보트 이동기구(153)는 웨이퍼 보트(142)가 탑재된 보트 탑재대(154)와, 보트 탑재대(154)를 제1탑재부와 제2탑재부 사이로 안내하기 위한 가이드 래일(155) 및, 보트 탑재부(154)를 구동하기 위한 구동수단(도시되지 않았음)을 구비하여 구성된다.
제1선회암(151) 및 제2선회암(152)의 각 기부 선단부는 각 선회 중심샤프트(156 혹은 157)에 선회 가능하게 부착된다. 도 9에 도시된 바와 같이, 플랜지부(147)가 웨이퍼 보트(142)의 저단부에 구비된다. 링 형상 돌기부(147a)가 보트 승강기(141)상의 열실린더(141a)와 함께 고정되기 위해 플랜지부(147)의 저부에 구비된다. 제1선회암(151)과 제2선회암(152)의 각 자유단은 링 형상 돌기부(147a)와의 간섭을 회피하기 위한 절개부를 갖고, 플랜지부(147)의 저부 표면을 지지하도록 적용된다.
도 9에 도시된 바와 같이, 제1선회암(151)과 제2선회암(152) 각각은 암(151 혹은 152)상에 탑재된 웨이퍼 보트(142)의 플랜지부(147)를 횡으로 조이도록 적용되는 적어도 2개의 클럼프부재(158)로 이루어진 클럼프 기구를 갖는다. 특히, 개폐기구(도시되지 않았음)에 의해 웨이퍼 보트(142)의 플랜지부(147)를 조이고 푸는 데 클램프부재(158)가 적용된다. 또한, 스프링이나 탄성고무(도시되지 않았음)와 같은 탄성부재의 탄성력을 사용함으로써, 클램프부재(158)가 웨이퍼 보트(142)의 플랜지부(147)를 조이고 풀 수 있다. 따라서, 선회암(151 혹은152)이 선회되는 동안 웨이퍼 보트(142)가 떨어지는 것이 방지된다. 도 9에서, 참조부호 159는 제1선회암(151)이나 제2선회암(152)을 선회시키며 구동시키는 모터와 같은 구동수단을 가리킨다.
도 8에 있어서, 가상 X축은 보트 승강기(141)에 탑재된 웨이퍼 보트(142)의 중심축(A)을 통해서, 이재 탑재대(102)에 대해서 수평한 전/후방향으로 연장된다. 가상 Y축은 X축에 직교하는 수평방향으로 연장된다. 제1선회암(151)과 제2선회암(152)의 선회축(156,157)은 중심축(A)에 대해 대칭적으로 Y축상에 설치된다. 특히, 도 8에 도시된 바와 같이, 선회축(156,157)은 각각 로딩영역(104)의 좌우측에 배치된다.
도 8의 이점쇄선으로 나타낸 바와 같이, 보트 탑재부(154)의 제1탑재부(P1)와 제2탑재부(P2)는 X축에 대해 대칭적으로 설치된다. 세정가스 흐름을 만들기 위한 필터장치(146)가 로딩영역(104)을 정의하는 제1탑재부(P1) 근방에서 횡벽(145)에 인접하게 배치된다. 필터장치(146)에 의해 만들어진 세정가스 흐름이 로딩영역(104)에서 제1탑재부(P1)를 통해서 제2탑재부(P2)를 향해 흐르도록 적용된다. 로딩영역(104)을 정의하는 제2탑재부(P2) 근방의 횡벽이 청정 가스를 흡수하는 데 적용된다. 선회암(151)이 필터장치(146) 아래에 위치된다.
로딩영역(104)내에서의 세정가스 흐름을 위해서, 바람직하게는 제1탑재부(P1)가 웨이퍼 보트를 로(140)내로 반입하는 데 사용되고, 바람직하게는 제2탑재부(P2)가 로(140)로부터 웨이퍼 보트를 반출하는데 사용된다. 특히, 제1탑재부(P1)에서 반도체 웨이퍼(W)가 빈 웨이퍼 보트(142)내로 이동 탑재된다. 그 다음, 웨이퍼 보트(142)가 보트 승강기(141)상으로 반송되어 열처리로(140)내로 반입된다 (적재(積載)). 열처리 후의 웨이퍼 보트(142)가 보트 승강기(141)로부터 제2탑재부(P2)상으로 반송된다. 처리된 반도체 웨이퍼(W)가 제2탑재부(P2)에서 웨이퍼 보트(142)로부터 꺼내진다 (적하(積荷)). 따라서, 열처리전의 반도체 웨이퍼(W)가 세정가스 흐름에 노출되어 입자 등에 의해 오염되는 것이 방지되므로, 반도체 웨이퍼(W)가 그 표면을 청정하게 유지하며 열처리로내로 적재될 수 있다. 한편, 열처리 후의 웨이퍼(W)가 세정 가스 흐름의 영향 아래서 열처리로(140)로부터 반출된다. 따라서, 열처리 후의 웨이퍼(W)가 입자 등에 의해 오염될 수 있다. 그러나, 열처리 후의 웨이퍼(W)가 행금공정 및 다음의 처리공정으로 반송되므로, 웨이퍼의 표면에 입자가 부착되면, 행금공정에서 입자가 제거된다.
상기 열처리장치는 이하와 같이 동작된다. 우선, 카세트(120)가 도시되지 않은 오퍼레이터나 자동 반송로보트에 의해서 외측으로부터 이재 탑재대(102)상에 탑재된다. 카세트(120)가 웨이퍼를 이동 탑재하기 위해서, 카세트 이재장치(103)에 의해서 지지 탑재대(130)상으로 반송된다. 열처리의 처리상황에 의존하여 카세트(120)가 일시적으로 저장될 수 있다.
그 다음, 웨이퍼 이재장치(143)에 의해서 반도체 웨이퍼(W)가 지지대(130)상의 카세트(120)로부터 반출된다. 반도체 웨이퍼(W)가 노치 정렬기(144)에 의해 정렬되고, 제1탑재부(P1)에서 웨이퍼 보트(142)내로 반입된다. 웨이퍼 보트(142)가 제1선회암(151)에 의해 보트 승강기(141)상에 탑재되어, 열처리로(140)로 반입된다.
열처리가 완료된 후, 웨이퍼 보트(142)는 열처리로(140)로부터 반출되고, 제2선회암(152)에 의해 보트 승강기(141)로부터 제2탑재부(P2)로 반송된다. 처리된 반도체 웨이퍼(W)가 웨이퍼 보트(142)로부터 꺼내지고, 웨이퍼 이재장치(143)에 의해서 지지 탑재대(130)상의 카세트(120)로 반송된다. 처리된 반도체 웨이퍼(W)로 채워진 카세트(120)가 이재 탑재대(102)를 통해서 외측으로 반송된다.
도 10a 내지 도 10f는 웨이퍼 보트의 이동 흐름을 대략적으로 나타낸 도면이다. 도 7 내지 도 9에서, 웨이퍼 보트는 동일한 참조부호 142로 가리켜지나, 도 10a 내지 도 10f에서는 2개의 웨이퍼 보트가 다른 참조부호 148과 149로 각각 가리켜진다. 더욱이, 도 10a 내지 도 10f에서, 참조부호 W1과 W2는 열처리 전의 웨이퍼와 열처리 후의 웨이퍼를 각각 가리킨다. 제1탑재부는 필터장치(146) 근방에 노출된다. 도 10a에서, 예컨대 제1탑재부는 웨이퍼 보트(149)에 의해 점유된 위치로서 보여진다.
제1웨이퍼 보트(148)가 열처리로(140)로 반입되어, 열처리가 진행하는 동안, 제1탑재부에서 열처리 전의 웨이퍼(W1)는 보트 탑재대(154)상에 탑재된 빈 제2웨이퍼 보트(149)내로 이동 탑재된다 (도 10a를 보자).
제1웨이퍼 보트(148)의 열처리가 완료된 후, 제1웨이퍼 보트(148)는 적하되어 제2선회암(152)에 의해 제2탑재부로 반송된다. 동시에, 제2웨이퍼 보트(149)가 제1선회암(151)에 의해 제1탑재부로부터 열처리로(140) 아래의 보트 승강기(141)상으로 반송된다 (도 10b를 보자). 그 다음, 제2선회암(152)으로부터 적하된 제1웨이퍼보트(148)를 수취하기 위해서, 보트 탑재대(154)는 비게되고, 제1탑재부(P1)로부터 제2탑재부(P2)로 되돌려진다.
제2웨이퍼 보트(149)가 열처리로(140)내로 반입되어, 열처리가 진행되는 동안, 열처리 후의 웨이퍼(W2)는 제2탑재부에서 보트 탑재부(154)에 탑재된 제1웨이퍼 보트(148)로부터 꺼내진다 (도 10c를 보자).
제1웨이퍼 보트(148)가 빈 후, 보트 탑재대(154)는 그것상에 탑재된 제1웨이퍼 보트(148)와 함께, 제2탑재부(P2)로부터 제1탑재부(P1)로 이동한다. 열처리로(140)에서 제2웨이퍼 보트(149)가 열처리를 행하는 동안, 열처리 전의 웨이퍼(W1)는 제1탑재부에서 보트 탑재대(154)상에 탑재된 제1웨이퍼 보트(148)로 이동 탑재된다 (도 10d를 보자).
제2웨이퍼 보트(149)의 열처리가 완료된 후, 제2웨이퍼 보트(149)는 적하되고, 제2선회암(152)에 의해 제2탑재부로 반송된다. 동시에, 제1웨이퍼보트(148)는 제1선회암(151)에 의해 제1탑재부로부터 열처리로 아래의 보트승강기(141) 상으로 반송된다 (도 10e를 보자). 그 다음, 제2선회암(152)으로부터 적하된 제2웨이퍼 보트(149)를 수취하기 위해서, 보트 탑재대(154)는 비게되고, 제1탑재부(P1)로부터 제2탑재부(P2)로 되돌려진다.
제1웨이퍼보트(148)가 열처리로(140)내로 적재되어 열처리를 격는 동안, 열처리 후의 웨이퍼(W2)는 제2탑재부에서 보트 탑재부(154)상에 탑재된 제2웨이퍼보트(149)로부터 꺼내진다 (도 10f를 보자).
제2웨이퍼 보트(149)가 빈 후, 그것상에 탑재된 제2웨이퍼보트(149)와 함께 보트탑재대(154)가 제2탑재부(P2)로부터 제1탑재부(P1)로 이동한다. 그 다음, 도 10a에서 도 10f의 싸이클이 반복된다.
상기 실시예에 있어서, 선회암(151,152)의 선회축(156,157)이 보트 승강기(141)상에 탑재된 웨이퍼 보트(142)의 중심축(A)에 대해서 Y축상에 대칭적으로 설치된다. 제1탑재부(P1) 및 제2탑재부(P2)는, Y축에 직교하고 중심축(A)을 통과하는 X축에 대해서 대칭적으로, 중심축(A)에 대한 이재 탑재대(102)의 한 측면에 설치된다. 그러므로, 제1탑재부 및 제2탑재부가 로딩영역(104)의 한 측면영역내에서 X축에 대해 횡방향으로 설치되고, 단지 하나의 암이 웨이퍼보트를 전달하는 통상적인 장치에 비교해서, 열처리장치의 폭이 효과적으로 감소될 수 있다.
본 발명은 상기 실시예에 한정되지 않고, 본 발명의 범위를 벗어나지 않는 범위내에서 다양한 변형을 실시할 수 있다. 예컨대, 기판은 반도체 웨이퍼일 뿐 아니라 글래스 기판이나 LCD기판으로 될 수도 있다.
이상에서 설명한 바와 같이 본 발명에 의하면, 개구로부터 뚜껑이 개방되어 열처리 후의 보트가 반출될 때, 로의 개구로부터 방출되는 열에 의해 야기되는 바람직하지 않은 영향을 감소시킬 수 있는 종형 열처리장치를 제공할 수 있다.
또한, 보트와 이재기구의 간섭을 방지하기 위해서, 보트가 반송될 때, 보트의 이동궤적으로부터 이재기구를 횡방향으로 퇴피(退避)시키고, 보트의 이동궤적과 기판의 이재기구의 점유공간을 오버랩시킴으로써, 기판의 처리능력을 향상시킬 수 있다.
또한, 캐리어 탑재부의 상하방향의 중심선상을 따라서 노치정렬기구를 설치하고, 높이를 증가시키지 않고 폭 및 깊이를 감소시킴으로써, 종형 열처리장치의 소형화를 달성할 수 있다.
또한, 보트 반송시에 기판의 오염이 제한될 수 있는 보트 반송방법을 제공할 수 있다.

Claims (10)

  1. 바닥부와 이 바닥부에 구비된 개구를 갖춘 열처리로와,
    기판을 상하 다단으로 지지하는 보트가 탑재되고, 탑재된 보트와 함께 종형 열처리로의 개구를 개폐시킬 수 있는 제1뚜껑,
    보트 및 다른 보트가 탑재될 수 있는 보트 탑재부,
    보트 탑재부와 제1뚜껑 사이에서 2개의 보트를 교대로 반송하기 위한 보트 반송기구 및,
    제1뚜껑이 개구를 개방함에도 불구하고 개구를 통해 통과하는 보트가 없을 때, 종형 열처리로의 개구를 폐쇄하기 위한 제2뚜껑을 구비하여 구성된 것을 특징으로 하는 처리장치.
  2. 제1항에 있어서, 제2뚜껑은 개구를 개방하기 위해서, 종형 열처리로의 개구의 외측표면에 대해서 종방향 및 횡방향으로 움직일 수 있는 셔터인 것을 특징으로 하는 처리장치.
  3. 기판을 수용하는 캐리어가 탑재될 수 있는 캐리어 탑재부와,
    캐리어 탑재부상에 탑재된 캐리어와 보트 탑재부상에 탑재된 보트 사이에서 기판을 이동 탑재하기 위한 이재기구를 더 구비하여 구성된 것을 특징으로 하는 처리장치.
  4. 제3항에 있어서, 보트 반송기구에 의해 보트가 반송될 때, 이재기구가 보트와 간섭되지 않도록 이재기구가 신축되는 것을 특징으로 하는 처리장치.
  5. 제3항에 있어서, 기판에 구비된 노치를 정렬하기 위해서, 캐리어 탑재부의 수직 중심선을 따라 설치된 노치 정렬기구를 더 구비하여 구성된 것을 특징으로 하는 처리장치.
  6. 제1항에 있어서, 보트 반송기구가,
    회전축과 선단부를 갖추고, 상하로 승강되고 회전축 주위로 수평하게 회전될 수 있는 제1암과,
    선단부 주위로 수평하게 회전될 수 있도록 선단부에서 지지되고, 보트의 중심이 제1암의 회전축에 걸쳐 통과되도록 보트를 수직으로 지지하고 보트를 반송시킬 수 있는 제2암을 포함하고,
    제1암과 제2암을 동시에 회전시킴으로써, 제1암의 회전축에 대해서 방사방향(radial direction)으로 보트를 반송할 수 있는 것을 특징으로 하는 처리장치.
  7. 제6항에 있어서, 이재기구가 보트 반송기구상에서 상하로 움직이는 것을 특징으로 하는 처리장치.
  8. 제1항에 있어서, 송풍장치가 세정가스 흐름을 만들기 위해서 보트 탑재부에 인접하게 배치된 것을 특징으로 하는 처리장치.
  9. 제8항에 있어서, 보트 탑재부가 제1탑재부와, 이 제1탑재부와 제1뚜껑 사이에 설치된 제2탑재부를 갖추고,
    송풍장치에 의해서 만들어진 세정가스 흐름에 대해서 제2탑재부의 바람의 영향아래서 보트가 통과 되도록, 제1탑재부로부터 제2탑재부로, 제2탑재부로부터 제1뚜껑으로, 제1뚜껑으로부터 제1탑재부로 보트를 반송하도록 보트 반송기구가 동작될 수 있는 것을 특징으로 하는 처리장치.
  10. 바닥부와 이 바닥부에 구비된 개구를 갖춘 종형 열처리로와, 기판을 상하 다단으로 지지하는 보트가 탑재되고 탑재된 보트와 함께 종형 열처리로의 개구를 개폐할 수 있는 제1뚜껑, 제1탑재부와 이 제1탑재부와 제1뚜껑 사이에 설치된 제2탑재부를 갖춘 보트 탑재부, 제1뚜껑이 개구를 개방함에도 불구하고 개구를 통해 통과하는 보트가 없을 때 종형 열처리로의 개구를 폐쇄하기 위한 제2뚜껑, 기판을 수용하는 캐리어가 탑재될 수 있는 캐리어 탑재부, 캐리어 탑재부상에 탑재된 캐리어와 보트 탑재부상에 탑재된 보트 사이에서 기판을 이동 탑재하기 위한 이재기구, 세정가스 흐름을 만들기 위해서 보트 탑재부에 인접하게 배치된 송풍장치, 송풍장치에 의해 만들어진 세정가스 흐름에 대해서 제2탑재부의 바람의 영향 아래서 보트가 통과하도록, 제1탑재부로부터 제2탑재부로, 제2탑재부로부터 제1뚜껑으로, 제1뚜껑으로부터 제1탑재부로 보트를 반송하기 위한 보트 반송기구를 구비하여 기판 처리장치가 구성되고,
    보트 반송기구에 의해서 제1부로부터 제2부로 제1보트를 반송하는 단계와,
    송풍장치에 의해서 만들어진 세정가스 흐름에 대해서 제2탑재부의 바람의 영향 아래서 제2보트가 통과되도록, 보트 반송기구에 의해서 제1뚜껑으로부터 제1부로 제2보트를 반송하는 단계 및,
    보트 반송기구에 의해서 제2부로부터 제1뚜껑으로 제1보트를 반송하는 단계를 구비하여 이루어진 것을 특징으로 하는 기판 처리장치를 이요하는 기판 처리방법.
KR1019990022238A 1998-11-18 1999-06-15 기판 처리장치 및 기판 처리방법 KR100549786B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP1998-327568 1998-11-18
JP32756898A JP3664897B2 (ja) 1998-11-18 1998-11-18 縦型熱処理装置

Publications (2)

Publication Number Publication Date
KR20000034872A true KR20000034872A (ko) 2000-06-26
KR100549786B1 KR100549786B1 (ko) 2006-02-08

Family

ID=18200528

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990022238A KR100549786B1 (ko) 1998-11-18 1999-06-15 기판 처리장치 및 기판 처리방법

Country Status (4)

Country Link
US (3) US6247245B1 (ko)
JP (1) JP3664897B2 (ko)
KR (1) KR100549786B1 (ko)
TW (1) TW514956B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100733168B1 (ko) * 2000-08-23 2007-06-28 동경 엘렉트론 주식회사 수직열처리장치와 수직열처리장치의 제어방법
KR100745867B1 (ko) * 2000-08-23 2007-08-02 동경 엘렉트론 주식회사 수직열처리장치 및 피처리체를 운송하는 방법
KR100781417B1 (ko) * 2001-09-04 2007-12-03 동경 엘렉트론 주식회사 열처리장치 및 열처리방법

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4255091B2 (ja) * 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP4653875B2 (ja) * 2000-07-27 2011-03-16 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
KR100788068B1 (ko) * 2000-08-23 2007-12-21 동경 엘렉트론 주식회사 피처리체의 처리시스템
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP2002100664A (ja) * 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理方法および装置
TW522127B (en) * 2001-02-21 2003-03-01 Daifuku Kk Cargo storage facility
JP5031960B2 (ja) * 2001-09-27 2012-09-26 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US6774012B1 (en) * 2002-11-08 2004-08-10 Cypress Semiconductor Corp. Furnace system and method for selectively oxidizing a sidewall surface of a gate conductor by oxidizing a silicon sidewall in lieu of a refractory metal sidewall
JP2005311306A (ja) * 2004-03-25 2005-11-04 Tokyo Electron Ltd 縦型熱処理装置及び被処理体移載方法
JP4358690B2 (ja) 2004-06-30 2009-11-04 東京エレクトロン株式会社 縦型熱処理装置及びその運用方法
EP1803151B1 (en) * 2004-08-23 2011-10-05 Murata Machinery, Ltd. Elevator-based tool loading and buffering system
TWI280220B (en) * 2004-10-25 2007-05-01 Tokyo Electron Ltd Carrying system, substrate treating device, and carrying method
US7771563B2 (en) * 2004-11-18 2010-08-10 Sumitomo Precision Products Co., Ltd. Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
JP2006237559A (ja) * 2005-01-28 2006-09-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4842227B2 (ja) 2006-09-13 2011-12-21 東京エレクトロン株式会社 半導体製造装置における地震被害拡散低減システム
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
US8747052B2 (en) * 2006-11-22 2014-06-10 Beijing Sevenstar Electronics Co., Ltd. Automation for high throughput semiconductor batch-wafer processing equipment
JP4335908B2 (ja) * 2006-12-22 2009-09-30 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理方法
JP4327206B2 (ja) * 2007-01-30 2009-09-09 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理方法
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US8190277B2 (en) 2007-11-30 2012-05-29 Tokyo Electron Limited Method for limiting expansion of earthquake damage and system for limiting expansion of earthquake damage for use in semiconductor manufacturing apparatus
JP5338335B2 (ja) 2008-08-13 2013-11-13 東京エレクトロン株式会社 搬送容器の開閉装置及びプローブ装置
JP5511273B2 (ja) 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012004536A (ja) * 2010-05-20 2012-01-05 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP2011018908A (ja) * 2010-07-15 2011-01-27 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
JP5901978B2 (ja) * 2011-04-11 2016-04-13 株式会社日立国際電気 基板処理装置、基板処理装置制御プログラム、及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6278751B2 (ja) * 2014-03-04 2018-02-14 東京エレクトロン株式会社 搬送方法及び基板処理装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9852936B2 (en) * 2015-01-29 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Load port and method for loading and unloading cassette
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6862163B2 (ja) * 2016-12-09 2021-04-21 東京エレクトロン株式会社 基板処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
KR0147387B1 (ko) * 1990-09-25 1998-11-02 이노우에 다케시 종형 열처리 장치
US5261935A (en) * 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5697749A (en) * 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
TW245823B (ko) * 1992-10-05 1995-04-21 Tokyo Electron Co Ltd
US5514196A (en) * 1993-02-04 1996-05-07 Tokyo Electron Limited Air cleaning apparatus
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5645419A (en) * 1994-03-29 1997-07-08 Tokyo Electron Kabushiki Kaisha Heat treatment method and device
DE59611078D1 (de) * 1995-03-28 2004-10-14 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
JP3654684B2 (ja) * 1995-05-01 2005-06-02 東京エレクトロン株式会社 処理方法及び処理装置
JP3478364B2 (ja) * 1995-06-15 2003-12-15 株式会社日立国際電気 半導体製造装置
JPH09289173A (ja) * 1996-04-19 1997-11-04 Tokyo Electron Ltd 縦型熱処理装置
US5820366A (en) * 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
JP3570827B2 (ja) * 1996-09-13 2004-09-29 東京エレクトロン株式会社 処理装置
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
JP3656701B2 (ja) * 1998-03-23 2005-06-08 東京エレクトロン株式会社 処理装置
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
TW430866B (en) * 1998-11-26 2001-04-21 Tokyo Electron Ltd Thermal treatment apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100733168B1 (ko) * 2000-08-23 2007-06-28 동경 엘렉트론 주식회사 수직열처리장치와 수직열처리장치의 제어방법
KR100745867B1 (ko) * 2000-08-23 2007-08-02 동경 엘렉트론 주식회사 수직열처리장치 및 피처리체를 운송하는 방법
KR100781417B1 (ko) * 2001-09-04 2007-12-03 동경 엘렉트론 주식회사 열처리장치 및 열처리방법

Also Published As

Publication number Publication date
US6493961B2 (en) 2002-12-17
US20010016307A1 (en) 2001-08-23
US6247245B1 (en) 2001-06-19
JP2000150400A (ja) 2000-05-30
US6327794B2 (en) 2001-12-11
US20020026728A1 (en) 2002-03-07
TW514956B (en) 2002-12-21
JP3664897B2 (ja) 2005-06-29
KR100549786B1 (ko) 2006-02-08

Similar Documents

Publication Publication Date Title
KR100549786B1 (ko) 기판 처리장치 및 기판 처리방법
KR100367021B1 (ko) 처리 장치
KR100676516B1 (ko) 기판처리장치 및 기판처리방법
JP5054218B2 (ja) 基板処理装置
KR19980041847A (ko) 기판 처리시스템
TWI708728B (zh) 基板處理裝置及基板處理方法
JP2002517055A (ja) 基板取扱いおよび処理システムと方法
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JPH10242234A (ja) 製造装置
KR100350693B1 (ko) 카세트 반송 기구
JP2007088279A (ja) 基板処理システム及び方法
JP2002110609A (ja) 洗浄処理装置
WO1999052143A1 (fr) Mecanisme d'alignement et dispositif de traitement de semi-conducteurs utilisant ce mecanisme
JP5526988B2 (ja) 基板処理装置及び基板処理システム
KR20220037977A (ko) 기판 세정 장치, 기판 처리 장치 및 기판 세정 방법
JPH0786373A (ja) 基板の姿勢変換装置
JP2001230185A (ja) 現像処理方法及び現像処理装置
JPH1131729A (ja) 基板収納容器供給装置
KR100274308B1 (ko) 멀티 챔버 처리시스템
JPH05326666A (ja) 搬送装置
JP2582578Y2 (ja) 多室式半導体処理装置
JP3638393B2 (ja) 基板処理装置
JP2001298011A (ja) 基板洗浄装置
TWI819373B (zh) 基板處理裝置及基板處理方法
JPH11233590A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130118

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140117

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160105

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee