KR20230025486A - 플라즈마 프로세싱 애플리케이션들을 위한 펄스 전압 소스 - Google Patents

플라즈마 프로세싱 애플리케이션들을 위한 펄스 전압 소스 Download PDF

Info

Publication number
KR20230025486A
KR20230025486A KR1020237002923A KR20237002923A KR20230025486A KR 20230025486 A KR20230025486 A KR 20230025486A KR 1020237002923 A KR1020237002923 A KR 1020237002923A KR 20237002923 A KR20237002923 A KR 20237002923A KR 20230025486 A KR20230025486 A KR 20230025486A
Authority
KR
South Korea
Prior art keywords
switch
coupled
terminal
voltage source
voltage
Prior art date
Application number
KR1020237002923A
Other languages
English (en)
Inventor
파브리스 쿠바인스
드미트리 그리신
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230025486A publication Critical patent/KR20230025486A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M7/00Conversion of ac power input into dc power output; Conversion of dc power input into ac power output
    • H02M7/42Conversion of dc power input into ac power output without possibility of reversal
    • H02M7/44Conversion of dc power input into ac power output without possibility of reversal by static converters
    • H02M7/48Conversion of dc power input into ac power output without possibility of reversal by static converters using discharge tubes with control electrode or semiconductor devices with control electrode
    • H02M7/483Converters with outputs that each can have more than two voltages levels
    • H02M7/49Combination of the output voltage waveforms of a plurality of converters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/51Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used
    • H03K17/56Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices
    • H03K17/687Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors
    • H03K17/6871Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors the output circuit comprising more than one controlled field-effect transistor
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/22Conversion of dc power input into dc power output with intermediate conversion into ac
    • H02M3/24Conversion of dc power input into dc power output with intermediate conversion into ac by static converters

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 명세서에 제공된 실시예들은 일반적으로 프로세싱 챔버에서 기판의 플라즈마 프로세싱을 위한 장치, 예를 들어 플라즈마 프로세싱 시스템들 및 방법들을 포함한다. 일부 실시예들은 파형 생성기에 관한 것이다. 파형 생성기는 일반적으로, 제1 전압 소스; 제1 스위치; 및 제2 스위치를 갖는 제1 전압 스테이지를 포함하고, 여기서 제1 전압 소스의 제1 단자는 제1 스위치의 제1 단자에 커플링되고, 제1 전압 소스의 제2 단자는 제2 스위치의 제1 단자에 커플링된다. 파형 생성기는 또한 제1 스위치와 제2 스위치의 제2 단자들 사이의 공통 노드에 커플링된 전류 스테이지를 포함하고, 전류 스테이지는 전류 소스 및 전류 소스에 커플링된 제3 스위치를 갖는다.

Description

플라즈마 프로세싱 애플리케이션들을 위한 펄스 전압 소스
[0001] 본 개시내용의 실시예들은 일반적으로 반도체 디바이스 제조에 사용되는 시스템에 관한 것이다. 더욱 구체적으로는, 본 개시내용의 실시예들은 기판을 프로세싱하는 데 사용되는 플라즈마 프로세싱 시스템에 관한 것이다.
[0002] 높은 종횡비 피처(feature)들을 안정적으로 생성하는 것은 차세대 반도체 디바이스들에 대한 주요 기술 과제들 중 하나이다. 높은 종횡비 피처들을 형성하는 한 가지 방법은 기판 표면 상에 형성되는 패터닝된 마스크 층에 형성된 개구들을 통해 기판의 표면 상에 형성된 재료에 충격을 가하도록 플라즈마 보조 에칭 프로세스를 사용한다.
[0003] 기술 노드가 2nm로 발전함에 따라, 더 큰 종횡비들을 갖는 더 작은 피처들의 제조는 플라즈마 프로세싱을 위한 원자 정밀도를 필요로 한다. 플라즈마 이온들이 중요한 역할을 하는 에칭 프로세스들에서, 이온 에너지 제어는 항상 반도체 장비 산업에 도전 과제이다. 전형적인 플라즈마 보조 에칭 프로세스에서, 기판은 프로세싱 챔버 내에 배치되는 ESC(electrostatic chuck) 상에 포지셔닝되고, 플라즈마는 기판 위에 형성되며, 이온들은 플라즈마 시스(sheath) 즉, 플라즈마와 기판 표면 사이에 형성되는 전자들이 고갈된 영역에 걸쳐, 플라즈마로부터 기판을 향해 가속된다. 전통적으로 플라즈마를 여기시켜 플라즈마 시스를 형성하기 위해 정현파 RF 파형을 사용하는 RF 기판 바이어싱 방법들은 이러한 더 작은 디바이스 피처 사이즈들을 바람직하게 형성하는 것이 불가능했었다. 최근에, 프로세싱 챔버 내의 하나 이상의 전극들로의 고전압 DC 펄스들의 전달이 기판의 표면 위에 형성되는 플라즈마 시스를 바람직하게 제어하는 데 유용할 수 있다는 것이 밝혀졌다.
[0004] 그러나, 빠른 상승 시간들 및/또는 빠른 하강 시간들을 갖는 고전압 펄스들을 생성하는 것은 도전과제이다. 예를 들어, 고전압 펄스(예를 들면, > 5 kV)에 대해 빠른 상승 시간 및/또는 빠른 하강 시간(예를 들면, < 2.5 μs)을 달성하기 위해, 펄스 상승 및/또는 하강의 기울기가 매우 가파르게 되어야 한다(예를 들면, >10 V/s). 이러한 가파른 상승 시간들 및/또는 하강 시간들은 특히 낮은 커패시턴스로 부하를 구동하는 회로들에서 특히 생성하기가 매우 어렵다. 이러한 펄스는 표준 전기 부품들을 사용하여 콤팩트한 방식으로; 및/또는 가변 펄스 폭들, 전압들 및 반복 속도들을 갖는 펄스들로; 및/또는 예를 들어 플라즈마를 형성하는 것과 같은 용량성 부하들을 갖는 어플리케이션들 내에서 생성하기가 특히 어려울 수 있다.
[0005] 따라서, 기판 상에서 바람직한 플라즈마 보조 프로세스의 완료를 가능하게 할 수 있는 펄스 전압 소스 및 바이어싱 방법들에 대한 당업계의 요구가 있다.
[0006] 본 명세서에 제공되어 있는 실시예들은 일반적으로 프로세싱 챔버 내에서 기판의 플라즈마 프로세싱을 위한 장치 예컨대, 플라즈마 프로세싱 시스템들 및 방법들을 포함한다.
[0007] 일부 실시예들은 파형 생성기에 관한 것이다. 파형 생성기는 일반적으로, 제1 전압 소스; 제1 스위치; 및 제 2 스위치를 갖는 제1 전압 스테이지를 포함하고, 제 1 전압 소스의 제 1 단자는 제 1 스위치의 제 1 단자에 커플링되고, 제 1 전압 소스의 제 2 단자는 제 2 스위치의 제 1 단자에 커플링된다. 파형 생성기는 또한 제1 스위치와 제2 스위치의 제2 단자들 사이의 공통 노드에 커플링된 전류 스테이지를 포함하고, 전류 스테이지는 전류 소스 및 전류 소스에 커플링된 제3 스위치를 갖는다.
[0008] 일부 실시예들은 파형 생성 방법을 위한 방법에 관한 것이다. 이 방법은 일반적으로, 제1 동작 모드 동안에 다수의 스위치들을 제어함으로써 파형 생성기의 출력 전류 경로에 제1 전압 소스를 통합하는 단계, 및 제2 동작 모드 동안에 다수의 스위치들을 제어함으로써 출력 전류 경로에 전류 소스를 통합하는 단계를 포함한다. 다수의 스위치들은: 제1 스위치; 제2 스위치 ― 제1 전압 소스의 제1 단자는 제1 스위치의 제1 단자에 커플링되고, 제1 전압 소스의 제2 단자는 제2 스위치의 제1 단자에 커플링됨 ―; 및 전류 소스와 병렬로 커플링된 제3 스위치를 포함하고, 제3 스위치는 제1 스위치와 제2 스위치의 제2 단자들 사이의 공통 노드에 커플링된다.
[0009] 일부 실시예들은 파형 생성을 위한 장치에 관한 것이다. 이 장치는 일반적으로 메모리, 및 메모리에 커플링되는 하나 이상의 프로세서들을 포함한다. 메모리 및 하나 이상의 프로세서들은 제1 동작 모드 동안, 다수의 스위치들을 제어함으로써 파형 생성기의 출력 전류 경로에 제1 전압 소스를 통합하고; 제2 동작 모드 동안, 다수의 스위치들을 제어함으로써 출력 전류 경로에 전류 소스를 통합하도록 구성된다. 다수의 스위치들은: 제1 스위치; 제2 스위치 ― 제1 전압 소스의 제1 단자는 제1 스위치의 제1 단자에 커플링되고, 제1 전압 소스의 제2 단자는 제2 스위치의 제1 단자에 커플링됨 ―; 및 전류 소스와 병렬로 커플링된 제3 스위치를 포함하고, 제3 스위치는 제1 스위치와 제2 스위치의 제2 단자들 사이의 공통 노드에 커플링된다.
[0010] 본 개시내용의 상기 인용된 특징들이 상세하게 이해될 수 있도록, 위에서 간략히 요약된 본 개시내용의 보다 구체적인 설명은 실시예들을 참조하여 이루어질 수 있으며, 그 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 하며, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0011] 도 1은 본 명세서에 기재된 방법들을 실시하도록 구성된, 하나 이상의 실시예들에 따르는 프로세싱 시스템의 개략적인 횡단면도이다.
[0012] 도 2는 프로세싱 챔버와 연관된 스트레이 커패시턴스 및 기판 지지 커패시턴스를 예시한다.
[0013] 도 3a, 도 3b 및 도 3c는 플라즈마 프로세싱을 위한 예시적인 전압 파형들을 도시한다.
[0014] 도 4는 본 개시내용의 특정 실시예들에 따른, 펄서(pulser)를 예시한다.
[0015] 도 5a는 본 개시내용의 특정 실시예들에 따른, 펄서의 다양한 동작 모드들을 예시한다.
[0016] 도 5b는 본 발명의 특정 실시예들에 따른, 다양한 동작 모드들 동안의 펄서의 스위치들의 상태들을 도시하는 타이밍도이다.
[0017] 도 6은 본 개시내용의 특정 양태들에 따른, 용량성 소자를 충전하기 위해 사용되는 충전 회로를 예시한다.
[0018] 도 7은 본 개시내용의 특정 실시예들에 따른, 파형 생성 방법을 예시하는 프로세스 흐름도이다.
[0019] 본 개시내용의 특정 양태들은 일반적으로 플라즈마 프로세싱 시스템용의 전압 파형을 생성하기 위한 기법들에 관한 것이다. 기판의 플라즈마 프로세싱 동안, 플라즈마 프로세싱 챔버 내에 배치되는 전극에 제공되는 전압 파형은 전형적으로 시스 붕괴 스테이지 및 이온 전류 스테이지를 포함하도록 구성될 것이다. 시스 붕괴 스테이지는 프로세싱 챔버 내에 위치되는 기판 지지부 상에 배치되는 기판의 표면 위에 생성되는 시스를 붕괴시키는 데 사용되는 양의 전압(예를 들어, 100볼트)을 생성시킴으로써 구현될 수 있다. 이온 전류 스테이지 동안, 프로세싱 챔버 내의 이온들은 음의 전압(예를 들어, -1600볼트)을 생성시킴으로써 흐르기 시작할 수 있다. 일부 실시예들에서, 파형의 이온 전류 스테이지 동안의 전압은 본 명세서에서 더 상세히 설명되는 바와 같이 전류 보상을 구현하기 위한 램프를 가질 수 있다. 전압 파형은 파형 생성기의 출력 전류 경로에 다양한 전압 소스들(예를 들면, 용량성 소자)를 선택적으로 통합함으로써 생성될 수 있다.
플라즈마 프로세싱 시스템 예들
[0020] 도 1은 본 명세서에 기재된 하나 이상의 플라즈마 프로세싱 방법들을 실행하도록 구성된 프로세싱 시스템(10)의 개략적인 횡단면도이다. 일부 실시예들에서, 프로세싱 시스템(10)은 반응성 이온 에칭(RIE) 플라즈마 프로세싱과 같은 플라즈마 보조 에칭 프로세스용으로 구성된다. 그러나, 본 명세서에 기술된 실시예들은 또한 플라즈마 강화 증착 프로세스들, 예를 들어, PECVD(plasma-enhanced chemical vapor deposition) 프로세스들, PEPVD(plasma-enhanced physical vapor deposition) 프로세스들, PEALD(plasma-enhanced atomic layer deposition) 프로세스들, 플라즈마 처리 프로세싱 또는 플라즈마 기반 이온 주입 프로세싱, 예를 들어 PLAD(plasma doping) 프로세싱과 같은 다른 플라즈마 보조 프로세스들에 사용하도록 구성된 프로세싱 시스템과 함께 사용될 수 있음에 유의해야 한다.
[0021] 도시되어 있는 바와 같이, 프로세싱 시스템(10)은 CCP(Capacitively Coupled Plasma)를 형성하도록 구성되며, 여기에서 프로세싱 챔버(100)는, 프로세싱 볼륨(volume)(129) 내에 배치되고, 프로세싱 볼륨(129) 내에 또한 배치된 하부 전극(예를 들어, 기판 지지 어셈블리(136))에 면하는, 상부 전극(예를 들어, 챔버 리드(lid)(123))을 포함한다. 전형적인 CCP(capacitively coupled plasma) 프로세싱 시스템에서, RF(radio frequency) 소스(예를 들어, RF 생성기(118))는 상부 또는 하부 전극 중 하나에 전기적으로 커플링되어, 플라즈마(예를 들어, 플라즈마(101))를 점화하여 유지하도록 구성된 RF 신호를 전달한다. 이 구성에서, 플라즈마는 상부 및 하부 전극들 각각에 용량적으로 커플링되고, 그들 사이의 프로세싱 영역 내에 배치된다. 전형적으로, 상부 또는 하부 전극들 중 대향하는 전극은 접지에 또는 제2 RF 전원에 커플링된다. 지지 베이스(107)와 같은 기판 지지 어셈블리(136)의 하나 이상의 구성요소들이 RF 생성기(118)를 포함하는 플라즈마 생성기 어셈블리(163)에 전기적으로 커플링되고, 챔버 리드(123)는 접지에 전기적으로 커플링된다. 도시되어 있는 바와 같이, 프로세싱 시스템(10)은 프로세싱 챔버(100), 지지 어셈블리(136) 및 시스템 컨트롤러(126)를 포함한다.
[0022] 프로세싱 챔버(100)는 전형적으로 챔버 리드(123), 하나 이상의 측벽들(122) 및 챔버 베이스(124)를 포함하는 챔버 본체(113)를 포함하며, 이들은 집합적으로 프로세싱 볼륨(129)을 한정한다. 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 일반적으로, 프로세싱 동안 프로세싱 챔버(100)의 프로세싱 볼륨(129) 내에서 유지되는 진공 환경 내에서 플라즈마(101)가 생성되는 동안, 프로세싱 챔버(100)의 소자들에 대한 구조적 지지부를 형성하도록 사이즈 및 형상이 정해지고 이들에 가해지는 압력들 및 추가되는 에너지를 견디도록 구성되는 재료를 포함한다. 일례에서, 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 알루미늄, 알루미늄 합금 또는 스테인리스강 합금과 같은 금속으로 형성된다.
[0023] 챔버 리드(123)를 통해 배치된 가스 유입구(128)는 하나 이상의 프로세싱 가스들을 이들과 유체 연통하고 있는 프로세싱 가스 공급원(119)으로부터 프로세싱 볼륨(129)으로 전달하는 데 사용된다. 기판(103)은 기판(103)의 플라즈마 프로세싱 동안 슬릿 밸브(도시 생략)로 밀봉되는 하나 이상의 측벽들(122) 중 하나에 있는 개구(도시 생략)를 통해 프로세싱 볼륨(129) 내로 로딩되고 프로세싱 볼륨(129)으로부터 제거된다.
[0024] 본 명세서에서 프로세싱 챔버 컨트롤러라고도 칭해지는 시스템 컨트롤러(126)는 CPU(central processing unit)(133), 메모리(134) 및 지원 회로(135)를 포함한다. 시스템 컨트롤러(126)는 본 명세서에 기술된 기판 바이어싱 방법을 포함하여 기판(103)을 프로세싱하기 위해 사용되는 프로세스 시퀀스를 제어하는 데 사용된다. CPU(133)는 프로세싱 챔버 및 이와 관련된 서브 프로세서를 제어하기 위해 산업적인 설정에 사용하도록 구성된 범용 컴퓨터 프로세서이다. 일반적으로 비휘발성 메모리인 본 명세서에 기술된 메모리(134)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 로컬 또는 원격의 다른 적절한 형태의 디지털 저장소를 포함할 수 있다. 지원 회로(135)는 통상적으로 CPU(133)에 커플링되고, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전원 공급 장치들 등 및 이들의 조합을 포함한다. 소프트웨어 명령(프로그램) 및 데이터는 코딩되어 CPU(133) 내의 프로세서에 지시하기 위해 메모리(134) 내에 저장될 수 있다. 시스템 컨트롤러(126) 내에서 CPU(133)에 의해 판독 가능한 소프트웨어 프로그램(또는 컴퓨터 명령)은 프로세싱 시스템(10) 내의 구성요소들에 의해 어느 작업들이 수행가능한지를 결정한다.
[0025] 전형적으로, 시스템 컨트롤러(126) 내에서 CPU(133)에 의해 판독 가능한 프로그램은 프로세서(CPU(133))에 의해 실행될 때 본 명세서에 기술된 플라즈마 프로세싱 방식과 관련된 작업들을 수행하는 코드를 포함한다. 프로그램은 본 명세서에 기술된 방법들을 구현하는 데 사용되는 다양한 프로세스 작업들 및 다양한 프로세스 시퀀스들을 수행하기 위해, 프로세싱 시스템(10) 내의 다양한 하드웨어 및 전기 구성요소들을 제어하는 데 사용되는 명령들을 포함할 수 있다. 일 실시예에서, 프로그램은 도 7과 관련하여 아래에 설명된 동작들 중 하나 이상을 수행하는 데 사용되는 명령들을 포함한다.
[0026] 프로세싱 시스템은 플라즈마 생성기 어셈블리(163), 바이어스 전극(104)에서 제1 PV 파형을 설정하기 위한 제1 PV(pulsed voltage) 소스 어셈블리(196), 및 에지 제어 전극(115)에서 제2 PV 파형을 설정하기 위한 제2 PV 소스 어셈블리(197)를 포함할 수 있다. 제1 PV 파형 또는 제2 PV 파형은 도 4, 도 5a 및 도 5b에 대하여 본 명세서에서 더욱 상세히 설명되어 있는 바와 같이 파형 생성기를 사용하여 생성될 수 있다. 일부 실시예들에서, 플라즈마 생성기 어셈블리(163)는 기판 지지 어셈블리(136)와 챔버 리드(123) 사이에 배치되는 프로세싱 영역에서 플라즈마(101)를 생성(유지 및/또는 점화)하는 데 사용될 수 있는 지지 베이스(107)(예를 들어, 전력 전극 또는 음극)에 RF 신호를 전달한다. 일부 실시예들에서, RF 생성기(118)는 1 MHz 이상 또는 약 2 MHz 이상, 예컨대 약 13.56MHz 이상인 주파수를 갖는 RF 신호를 전달하도록 구성된다.
[0027] 상기 논의되어 있는 바와 같이, 일부 실시예들에서, RF 생성기(118) 및 RF 생성기 어셈블리(160)를 포함하는 플라즈마 생성기 어셈블리(163)는 일반적으로, 시스템 컨트롤러(126)로부터 제공되는 제어 신호에 기초하여 기판 지지 어셈블리(136)의 지지 베이스(107)에 원하는 실질적으로 고정된 정현파 파형 주파수에서, 원하는 양의 연속적인 파(CW) 또는 펄스형 RF 전력을 전달하도록 구성된다. 프로세싱 동안, 플라즈마 생성기 어셈블리(163)는 RF 전력(예를 들어, RF 신호)을 기판 지지부(105)에 근접하게 그리고 기판 지지 어셈블리(136) 내에 배치되는 지지 베이스(107)로 전달하도록 구성된다. 지지 베이스(107)에 전달된 RF 전력은 프로세싱 볼륨(129) 내에 배치되는 프로세싱 가스들의 프로세싱 플라즈마(101)를 점화 및 유지하도록 구성된다.
[0028] 일부 실시예들에서, 지지 베이스(107)는, 둘 모두가 RF 생성기 어셈블리(160) 내에 배치되어 있는 RF 정합 회로(162) 및 제1 필터 어셈블리(161)를 통해 RF 생성기(118)에 전기적으로 커플링되는 RF 전극이다. 제1 필터 어셈블리(161)는 PV 파형 생성기(150)의 출력에 의해 생성된 전류가 RF 전력 전달 라인(167)을 통해 흘러 RF 생성기(118)를 손상시키는 것을 실질적으로 방지하도록 구성된 하나 이상의 전기 소자들을 포함한다. 제1 필터 어셈블리(161)는 PV 파형 생성기(150) 내의 PV 펄스 생성기(P1)에서 생성된 PV 신호에 높은 임피던스(예를 들어, 하이 Z)로서 작용함으로써 RF 정합 회로(162) 및 RF 생성기(118)로의 전류 흐름을 억제시킨다.
[0029] 일부 실시예들에서, RF 생성기 어셈블리(160) 및 RF 생성기(118)는 프로세싱 볼륨(129) 내에 배치되는 프로세싱 가스들 및 RF 생성기(118)에 의해 지지 베이스(107)에 전달되는 RF 전력(RF 신호)에 의해 생성되는 필드들을 사용하여 프로세싱 플라즈마(101)를 점화 및 유지하는 데 사용된다. 프로세싱 볼륨(129)은 진공 배출구(120)를 통해 하나 이상의 전용 진공 펌프들에 유체 커플링되며, 진공 배출구(120)는 프로세싱 볼륨(129)을 대기압보다 낮은 압력 조건에 유지하고 진공 배출구(120)로부터 프로세싱 및/또는 다른 가스들을 배출시킨다. 일부 실시예들에서, 프로세싱 볼륨(129) 내에 배치되는 기판 지지 어셈블리(136)는, 접지되어 있고 챔버 베이스(124)를 통해 연장되는 지지 샤프트(138) 상에 배치된다. 그러나, 일부 실시예들에서, RF 생성기 어셈블리(160)는 기판 지지부(105) 대 지지 베이스(107)에 배치되는 바이어스 전극(104)에 RF 전력을 전달하도록 구성된다.
[0030] 위에서 간략히 논의된 바와 같이, 기판 지지 어셈블리(136)는 일반적으로 기판 지지부(105)(예를 들어, ESC 기판 지지부) 및 지지 베이스(107)를 포함한다. 일부 실시예들에서, 기판 지지 어셈블리(136)는, 아래에서 더 논의되는 바와 같은 절연판(111) 및 접지 플레이트(112)를 추가로 포함할 수 있다. 지지 베이스(107)는 절연판(111)에 의해 챔버 베이스(124)와 전기적으로 절연되고, 접지 플레이트(112)는 절연판(111)과 챔버 베이스(124) 사이에 개재된다. 기판 지지부(105)는 지지 베이스(107)에 열적으로 커플링되어 지지 베이스(107) 상에 배치된다. 일부 실시예들에서, 지지 베이스(107)는 기판 프로세싱 동안 기판 지지부(105) 및 기판 지지부(105) 상에 배치되는 기판(103)의 온도를 조절하도록 구성된다.
[0031] 전형적으로, 기판 지지부(105)는 내부식성(corrosion-resistant) 금속 산화물 또는 금속 질화물 재료, 예를 들어 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 이트륨 산화물(Y2O3), 이들의 혼합물, 또는 이들의 조합과 같은 벌크 소결 세라믹 재료와 같은 유전체 재료로 형성된다. 본 명세서의 실시예들에서, 기판 지지부(105)는 기판 지지부(105)의 유전체 재료에 매립되는 바이어스 전극(104)을 더 포함한다. 일부 실시예들에서, 바이어스 전극(104) 위의 프로세싱 영역 내에 플라즈마(101)를 유지하는 데 사용되는 RF 전력의 하나 이상의 특성들은 바이어스 전극(104)에서 확립되는 RF 파형을 측정함으로써 결정 및/또는 모니터링된다.
[0032] 일 구성에서, 바이어스 전극(104)은, 본 명세서에 설명되어 있는 펄스 전압 바이어싱 방식들 중 하나 이상을 사용하여 기판 지지부(105)의 기판 지지 표면(105A)에 기판(103)을 고정(즉, 척)하는 데 그리고 프로세싱 플라즈마(101)에 대해 기판(103)을 바이어스하는 데 사용되는 처킹 폴이다. 전형적으로, 바이어스 전극(104)은 하나 이상의 금속 메쉬(mesh)들, 호일(foil)들, 플레이트들 또는 이들의 조합과 같은 하나 이상의 전기 전도성 부분들로 형성된다.
[0033] 일부 실시예들에서, 바이어스 전극(104)은 클램핑 네트워크(116)에 전기적으로 커플링되며, 클램핑 네트워크는 동축 전력 전달 라인(106)(예를 들어, 동축 케이블)과 같은 전기 도체를 사용하여 약 -5000 V와 약 5000 V 사이의 정적인 DC 전압과 같은 처킹 전압을 바이어스 전극에 제공한다. 아래에서 추가로 논의되는 바와 같이, 클램핑 네트워크(116)는 바이어스 보상 회로 소자들(116A), DC 전원 공급 장치(155), 및 본 명세서에서 차단(blocking) 커패시터(C5)라고도 하는 바이어스 보상 모듈 차단 커패시터를 포함한다. 차단 커패시터(C5)는 PV(pulsed voltage) 파형 생성기(150)의 출력과 바이어스 전극(104) 사이에 배치된다.
[0034] 기판 지지 어셈블리(136)는, 에지 링(114) 아래에 위치되어 바이어스 전극(104)을 둘러싸는 및/또는 바이어스 전극(104)의 중심으로부터 거리를 두고 배치되는 에지 제어 전극(115)을 더 포함할 수 있다. 일반적으로, 원형 기판들을 프로세싱하도록 구성되는 프로세싱 챔버(100)에서, 에지 제어 전극(115)은 형상이 환형이고, 전도성 재료로 만들어지며, 바이어스 전극(104)의 적어도 일부를 둘러싸도록 구성된다. 일부 실시예들에서는, 도 1에 도시되어 있는 바와 같이, 에지 제어 전극(115)은 기판 지지부(105)의 영역 내에 위치된다. 일부 실시예들에서는, 도 1에 예시되어 있는 바와 같이, 에지 제어 전극(115)은, 바이어스 전극(104)과 기판 지지부(105)의 기판 지지 표면(105A)으로부터 유사한 거리(즉, Z-방향)에 배치되는 전도성 메쉬, 호일 및/또는 플레이트를 포함한다. 일부 다른 실시예들에서, 에지 제어 전극(115)은 바이어스 전극(104) 및/또는 기판 지지부(105)의 적어도 일부를 둘러싸는 석영 파이프(110)의 영역 상에 또는 그 영역 내에 위치하는 전도성 메쉬, 호일 및/또는 플레이트를 포함한다. 대안적으로, 일부 다른 실시예들(도시 생략)에서, 에지 제어 전극(115)은 기판 지지부(105) 상에 그리고 기판 지지부(105)에 인접하게 배치되는 에지 링(114) 내에 위치되거나 에지 링(114)에 커플링된다. 이 구성에서, 에지 링(114)은 반도체 또는 유전체 재료(예를 들어, AlN 등)로 형성된다.
[0035] 에지 제어 전극(115)은 바이어스 전극(104)을 바이어스하는 데 사용되는 PV 파형 생성기(150)와 다른 PV 파형 생성기를 사용하여 바이어스될 수 있다. 일부 실시예들에서, 에지 제어 전극(115)은 전력의 일부를 에지 제어 전극(115)으로 분할함으로써 바이어스 전극(104)을 바이어스하는 데에도 사용되는 PV 파형 생성기(150)의 사용에 의해 바이어스될 수 있다. 일 구성에서, 제1 PV 소스 어셈블리(196)의 제1 PV 파형 생성기(150)는 바이어스 전극(104)을 바이어스하도록 구성되고, 제2 PV 소스 어셈블리(197)의 제2 PV 파형 생성기(150)는 에지 제어 전극(115)을 바이어스하도록 구성된다.
[0036] 전력 전달 라인(157)은 제1 PV 소스 어셈블리(196)의 PV 파형 생성기(150)의 출력을 선택적인 필터 어셈블리(151) 및 바이어스 전극(104)에 전기적으로 연결한다. 아래의 논의는, PV 파형 생성기(150)를 바이어스 전극(104)에 커플링시키는 데 사용되는 제1 PV 소스 어셈블리(196)의 전력 전달 라인(157)을 주로 논의하는 한편, PV 파형 생성기(150)를 에지 제어 전극(115)에 커플링시키는 제2 PV 소스 어셈블리(197)의 전력 전달 라인(158)은 동일하거나 유사한 구성 요소들을 포함한다. 전력 전달 라인(157)의 다양한 부분들 내의 전기 도체(들)는 다음: (a) 강성의 동축 케이블과 직렬로 연결되는 플렉서블 동축 케이블과 같은 동축 케이블의 하나 또는 동축 케이블들의 조합, (b) 절연된 고전압 코로나 저항 접속 전선(corona-resistant hookup wire), (c) 나선(bare wire), (d) 금속 막대, (e) 전기 커넥터, 또는 (f) (a) ― (e)의 전기 소자들의 임의의 조합을 포함할 수 있다. 선택적 필터 어셈블리(151)는 RF 생성기(118)의 출력에 의해 생성되는 전류가 전력 전달 라인(157)을 통해 흘러 PV 파형 생성기(150)를 손상시키는 것을 실질적으로 방지하도록 구성된 하나 이상의 전기 소자들을 포함한다. 선택적 필터 어셈블리(151)는 RF 생성기(118)에 의해 생성된 RF 신호에 대해 높은 임피던스(예를 들어, 하이 Z)로서 작용하여 PV 파형 생성기(150)로의 전류 흐름을 억제한다.
[0037] 제2 PV 소스 어셈블리(197)는 에지 제어 전극(115)에 인가되는 바이어스가 제1 PV 소스 어셈블리(196) 내에 커플링되는 클램핑 네트워크(116)에 의해 바이어스 전극(104)에 인가되는 바이어스와 유사하게 구성될 수 있도록 클램핑 네트워크(116)를 포함한다. 바이어스 전극(104) 및 에지 제어 전극(115)에 유사하게 구성된 PV 파형들 및 클램핑 전압들을 인가하면, 프로세싱 동안 기판의 표면에 전반에 걸쳐 플라즈마 균일성을 개선하는 데 도움이 될 수 있고 그에 따라 플라즈마 프로세싱 프로세스 결과들을 개선할 수 있다.
[0038] 일부 실시예들에서, 프로세싱 챔버(100)는 기판 지지부(105) 및/또는 지지 베이스(107)가 부식성 프로세싱 가스들 또는 플라즈마, 세정 가스들 또는 플라즈마, 또는 이들의 부산물과 접촉하는 것을 방지하기 위해 기판 지지 어셈블리(136)의 일부분들을 적어도 부분적으로 둘러싸는 석영 파이프(110) 또는 칼라(collar)를 더 포함한다. 전형적으로, 석영 파이프(110), 절연판(111) 및 접지 플레이트(112)는 라이너(108)에 의해 둘러싸여 있다. 일부 실시예들에서, 플라즈마 스크린(109)이 음극 라이너(108)와 측벽들(122) 사이에 위치되어 플라즈마가 라이너(108)와 하나 이상의 측벽들(122) 사이의 플라즈마 스크린(109) 아래의 볼륨에서 형성되는 것을 방지한다.
프로세싱 챔버의 예시적인 대표 회로
[0039] 도 2는 프로세싱 챔버와 연관된 스트레이 커패시턴스 및 이스케이프(escape) 커패시턴스를 예시한다. 스트레이 커패시턴스(204)(Cstray)는 프로세싱 챔버의 전극과 접지 사이의 커패시턴스를 나타내고, 본 명세서에서 정전 척 커패시턴스(Cesc)라고도 하는기판 지지 커패시턴스(202)는 바이어스 전극(104)과 및 기판 지지 표면(105A) 사이의 커패시턴스를 나타낸다. 도시되어 있는 바와 같이, Cesc는 출력 노드(Uout으로 표시됨)와 저항성 소자(206)로 표현되는 부하 사이에 커플링된다. 부하(예를 들어, 노드 Uload에서)에서 전압 펄스에 대해 사각형 형상을 갖기 위해, 본 명세서에서 더욱 상세히 설명되는 바와 같이, 기울기가 Cesc 양단 전압 및 Cstray 양단 전압(예를 들어, Uout에서의 전압)에 대해 구현된다. Cstray 양단의 전류(예를 들어, 보상 전류(Icomp))는 Cstray의 커패시턴스와 Cesc의 커패시턴스의 비율을 곱한 Cesc 양단의 부하 전류(Iload)와 같을 수 있다. 출력 전류(Iout)는 Iload와 Icomp의 합과 같을 수 있으며 다음 수학식으로 나타낼 수 있다:
Figure pct00001
프로세싱 챔버에 대한 예시적인 전압 파형
[0040] 도 3a는 도 1에 도시된 전극(104)과 같은 프로세싱 챔버 내에 배치되는 전극에서 확립될 수 있는 전압 파형을 도시한다. 파형은 이온 전류 스테이지와 시스 붕괴 스테이지의 2개의 스테이지들을 포함한다. 이온 전류 스테이지의 시작 부분에서 웨이퍼 전압 강하가 기판 위에 고전압 시스를 생성하여 양의 이온들을 기판(103)으로 가속시킨다. 양의 이온들은 기판 표면 상에 양의 전하를 증착시켜 기판 전압을 양으로 점진적으로 증가시키는 경향이 있습니다. 구형파가 공급되면, 기판을 향한 이온 전류는 기판 전압의 양의 기울기를 생성한다(예를 들어, 도 2에 도시된 Uload에서). 도 3c에 도시되어 있는 바와 같이 부하 상에(예를 들어, Uload에서) 전압 펄스에 대해 사각형 형상을 갖기 위해. 기울기는 도 3a에 도시된 바와 같이 이온 전류 스테이지 동안 Uout에서의 전압에 대해 구현되며, 도 3b에 도시된 바와 같이, 정전 척 용량성 소자 Cesc 양단의 전압을 형성하기 위해 사용된다. 이온 전류 스테이지 동안 전극(104) 및 정전 척 커패시터 Cesc에서 기울기를 구현하는 것은 일반적으로 전류 보상이라고 하며, 이는 이 이온 전류 스테이지 동안 Uload에서 볼 수 있는 정전압을 형성하는 데 사용된다. 이온 전류 단계의 시작과 끝 사이의 전압 차는 이온 에너지 분포 함수(IEDF) 폭을 결정한다. 전압 차가 클수록 이온 에너지 분포가 넓어지고 따라서 IEDF 폭이 넓어진다. 단일 에너지 이온과 더 좁은 IEDF 폭을 달성하기 위해, 이온 전류 단계에서 기판 전압 파형을 평탄화하기 위해 전류 보상 동작들이 수행된다. 일부 실시예들에서, 전압 파형들은 약 50 kHz와 1000 kHz 사이의 주파수(1/Tp)에서 전달될 수 있다. 일부 실시예들에서, 전극에서 확립된 전압 파형은 이온 전류 기간(예를 들어, 이온 전류 스테이지의 길이)과 파형 기간 TP(예를 들어, 시스 붕괴 스테이지의 길이 + 이온 전류 스테이지의 길이)의 비율로 정의되는 온-타임(on-time)을 갖w지며, 이는 50%보다 크거나 70%보다 크며, 예를 들어 80%와 95% 사이이다. 일부 실시예들에서, 기간 TP(예를 들어, 약 2.5 ㎲)를 갖는 파형 주기를 갖는 전압 파형은 약 100마이크로초(㎲) 내지 약 10밀리초(ms) 사이인 버스트 기간을 갖는 파형 버스트 내에서 연속적으로 반복된다. PV 파형들의 버스트는 약 50%와 약 95% 사이와 같이 약 5% - 100% 사이의 버스트 듀티 사이클을 가질 수 있으며, 듀티 사이클은 버스트 기간을 버스트 기간과 버스트 기간을 분리시키는 비버스트 기간(즉, PV 파형이 생성되지 않음)을 더한 것으로 나눈 비율이다. 도시되어 있는 바와 같이, 시스 붕괴 스테이지는 약 200ns일 수 있는 TSH의 지속 시간을 가질 수 있다.
[0041] 도 4는 본 개시내용의 특정 실시예에 따르는 펄서(400)(본 명세서에서는 파형 생성기라고도 함)를 예시한다. 도시되어 있는 바와 같이, 펄서(400)는 펄스 용량성 소자들(402, 404, 406 및 408)(C1, C3, C4 및 C6으로 표시됨)뿐만 아니라, 트랜지스터들(410, 412, 414, 416, 418, 420, 422)(트랜지스터들 Q1, Q2, Q3, Q4, Q6, Q10 및 Q12로 표시됨)을 포함할 수 있다. 트랜지스터들(410, 412, 414, 416, 418, 420, 422)(본 명세서에서 스위치들로 또한 지칭됨)은 병렬 다이오드(예를 들어, 바디 다이오드)를 갖는 파워 트랜지스터들(예를 들어, 금속 산화물 반도체 전계 효과 트랜지스터들(MOSFETs))일 수 있다. 트랜지스터들(410, 412, 414, 416, 418, 420, 422)은 본 명세서에서 더 상세히 설명되는 바와 같이 펄서에 대한 전류 흐름 경로(출력 전류 경로로 또한 지칭됨)를 선택하는 데 사용될 수 있다. 용량성 소자들(402, 404, 406 및 408)은 도 6에 예시되어 있는 회로와 같은 충전 회로를 사용하여 충전될 수 있는 전압 저장 소자의 역할을 할 수 있다. 도 4, 도 5A 및 도 6에 예시되어 있는 용량성 소자들은 사실상 전압 소스들로서 작용한다. 예시적인 펄서(400)는 이해를 용이하게 하기 위해 용량성 소자들을 예시하지만, 임의의 적절한 전압 소스가 사용될 수 있다.
[0042] 저항성 소자(424)(R1로 표시됨)는 부하(426)에 커플링되는 펄서의 내부 직렬 저항성 소자를 나타낸다. 플라즈마 프로세싱 챔버 내에서 형성된 플라즈마일 수 있는 부하(426)는 용량성 소자(428)(C2로 표시됨) 및 저항성 소자(430)(R2로 표시됨)로 나타낼 수 있다. 도시되어 있는 바와 같이, 용량성 소자(402) 및 트랜지스터(410, 412)는 제1 전압 스테이지(440)를 형성하고, 용량성 소자(404) 및 트랜지스터(414, 416)는 제2 전압 스테이지(442)를 형성한다. 펄서(400)는 또한, 용량성 소자(406), 트랜지스터(418) 및 유도성 소자(450)를 갖는 전류 스테이지(444)뿐만 아니라, 용량성 소자(408) 및 트랜지스터들(420, 422)을 갖는 제3 전압 스테이지(446)를 포함한다. 펄서(400)는 3개의 전압 스테이지로 구현되지만, 본 개시내용의 양태들은 3개의 전압 스테이지들 중 하나, 둘 또는 그 이상의 스테이지들로 구현될 수 있다. 펄서(400)의 일부 실시예들에서, 하나 이상의 전압 스테이지들은 제1 전압 스테이지(440), 2개 이상의 제2 전압 스테이지들(442), 전류 스테이지(444) 및 제3 전압 스테이지(446)를 포함하는 구성과 같이 1회 이상 중복될 수 있으며, 여기에서 2개 이상의 제2 전압 스테이지들(442)은 제1 전압 스테이지(440)와 전류 스테이지(444) 사이에 직렬로 연결된다.
[0043] 도시되어 있는 바와 같이, 각각의 용량성 소자들(402, 404, 406, 408)은 구현되는 파형에 따라 특정 전압으로 충전될 수 있다. 예를 들어, 각각의 용량성 소자들(402, 404, 406)은 800 볼트로 충전되고, 용량성 소자(408)는 100볼트로 충전된다. 일부 구현예들에서, 용량성 소자들(402, 404, 406, 408)은 상이한 구현예들에 적합한 파형에 대해 상이한 전압 레벨들을 구현하기 위해 더 크거나 더 낮은 전압으로 충전될 수 있다. 일부 실시예들에서, 각각의 전압 스테이지들(440, 442, 446) 및 전류 스테이지(444)는 오작동의 경우에 쉬운 교체를 용이하게 하는 모듈식 설계를 가질 수 있다. 도 3a에 도시되어 있는 파형을 생성하기 위한 펄서(400)의 동작은 도 5와 대하여 보다 상세하게 설명된다.
[0044] 도 5a는 본 개시내용의 특정 실시예들에 따르는 펄서(400)의 다양한 동작 모드들을 예시한다. 다양한 동작 모드들(502, 504, 506) 및 도 5a에 예시되어 있는 회로 소자들과 연관된 전압의 크기는 펄스 파형의 생성 동안 확립될 수 있는 전압의 예들을 제공하기 위한 것이며 본 명세서에 제공된 개시내용의 범위를 제한하고자 하는 것은 아니다. 도 5b는 각각의 트랜지스터들(410, 412, 414, 416, 418, 420, 422)(예를 들어, 트랜지스터들 Q1, Q2, Q3, Q4, Q6, Q10, Q12)의 상태를 도시하는 타이밍도이다. 시스 붕괴 스테이지 동안, Uout에서의 전압은 일례로서, 100볼트로 설정될 수 있다. 동작 모드(502)로 도시된 바와 같이, 시스 붕괴 스테이지에서 이온 전류 스테이지로 전이하기 위해, 트랜지스터들 Q1, Q3, Q5 및 Q7은 턴온되고 트랜지스터들 Q2, Q4, Q6은 턴오프되어 100볼트에서 -1600볼트로의 전압 강하를 구현할 수 있다. 트랜지스터들 Q1, Q3, Q5 및 Q7을 턴온하고 트랜지스터들 Q2, Q4, Q6을 턴오프하면 도시되어 있는 바와 같이 펄서의 출력 전류 경로에 용량성 소자들(402, 404)을 효과적으로 통합한다. 동작 모드(502)에서, Iout은 접지로부터 용량성 소자들 C2, C1, 트랜지스터 Q1, 용량성 소자 C3 및 트랜지스터들 Q3, Q5, Q7을 통해 흐른다. 용량성 소자들 C1 및 C3은 Uout에서의 전압을 -1600 볼트(예를 들어, 용량성 소자 C1로부터 -800 볼트 및 용량성 소자 C3으로부터 -800 볼트)로 설정한다. 이온 전류 스테이지 동안 -1600 볼트를 구현하기 위해 2개의 전압 스테이지들이 사용되는 반면, 각각의 전압 스테이지는 -800 볼트를 제공하지만 단일 전압 스테이지가 사용될 수 있다. 예를 들어, 단일 전압 스테이지의 용량성 소자는 이온 전류 스테이지 동안 Uout에서 -1600 볼트를 제공하기 위해 1600 볼트로 충전될 수 있다. 도시되어 있는 바와 같이, 동작 모드(502) 동안 Iout은 트랜지스터 Q5의 병렬 다이오드(예를 들어, 바디 다이오드)를 가로질러 흐르고 트랜지스터 Q7을 가로질러 접지로 되돌려 흐른다. 트랜지스터 Q5가 턴온되면, 전류(560)는 루프 내에서 용량성 소자 C4, 유도성 소자 L1을 통해 트랜지스터 Q5의 드레인에서 소스로 흐른다.
[0045] Uout에서의 전압이 -1600 볼트에 도달하면, 동작 모드(504)가 구현될 수 있다. 동작 모드(504) 동안, 용량성 소자 C4 및 유도성 소자 L1을 사용하여 구현된 전류 소스는 펄서(400)의 출력 전류 경로에 통합될 수 있다. 도시되어 있는 바와 같이, 트랜지스터 Q5가 턴오프될 수 있고, Iout이 용량성 소자 C4 및 유도성 소자 L1를 가로질러(예를 들어, 동작 모드(502) 동안 트랜지스터 Q5의 병렬 다이오드를 통하는 대신에) 흐르기 시작할 것이다. 용량성 소자 C4 및 유도성 소자 L1은 전류 소스를 구현하고, 도 3a에 대해 설명된 바와 같이 이온 전류 보상을 위한 이온 전류 스테이지 동안 기울기를 구현하기 위해 Uout에서 전압을 효과적으로 점진적으로 감소시킨다. 예를 들어, 이온 전류 스테이지 동안 Uout의 전압은 -1600 볼트에서 -2400 볼트로 감소할 수 있다.
[0046] Uout에서의 전압이 -2400 볼트에 도달하면, 동작 모드(506)가 구현될 수 있다. 동작 모드(506) 동안, 용량성 소자 C6은 펄서(400)의 출력 전류 경로에 통합될 수 있다. 도시되어 있는 바와 같이, 동작 모드(506) 동안, 트랜지스터들 Q1, Q3 및 Q7은 턴오프될 수 있고 트랜지스터들 Q2, Q4, Q5, Q6이 턴온될 수 있다. 따라서, Iout은 용량성 소자 C6, 트랜지스터들 Q6, Q5, Q4, Q2 및 용량성 소자 C2를 통해 흐른다. 설명된 바와 같이, 용량성 소자 C6은 100 볼트로 충전될 수 있다. 따라서, 동작 모드(506)는 도 3A에 대하여 설명된 바와 같이 시스 붕괴 스테이지 동안 Uout에서 100 볼트를 구현한다. 다시 말하면, Iout은 동작 모드들(502, 504)(예를 들어, 이온 전류 스테이지 동안)과 비교하여 동작 모드(506) 동안(예를 들어, 시스 붕괴 스테이지 동안) 반대 방향으로 흘러, 양의 전압(예를 들어, 100 볼트)은 시스 붕괴 스테이지 동안 구현되고 음의 전압(예: -1600 볼트에서 -2400 볼트 사이)은 이온 전류 스테이지 동안 구현된다.
[0047] 도 6은 본 개시내용의 특정 양태들에 따라서 용량성 소자(612)를 충전하는 데 사용되는 충전 회로(600)를 예시한다. 용량성 소자(612)는 용량성 소자들(402, 404, 406, 408) 중 어느 하나에 대응할 수 있다. 다시 말하면, 충전 회로(예를 들어, 충전 회로(600)와 유사함)가 본 명세서에 기술된 바와 같이 용량성 소자를 각각의 전압으로 충전하기 위해 용량성 소자들(402, 404, 406및 408)의 각각에 대해 구현될 수 있다. 충전 회로(600)는 직류(DC) 전압을 교류(AC) 전압으로 변환하기 위한 인버터(602)를 포함할 수 있다. AC 전압은 변압기(604)의 1차 권선(606)에 제공될 수 있다. 변압기는 1차 권선(606)에서의 AC 전압보다 높은 전압을 갖는 2차 권선(608)에서의 AC 전압을 생성할 수 있다. 예를 들어, 용량성 소자(402)를 충전하기 위해, 2차 권선(608)에서의 AC 전압은 800 볼트의 피크 전압을 가질 수 있다. 2차 권선(608)에서의 AC 전압은 정류기(610)에 제공되어 용량성 소자(612)를 충전하는데 사용되는 DC 신호를 생성할 수 있다.
[0048] 도 7은 본 개시내용의 특정 실시예들에 따르는 파형 생성 방법(700)을 예시하는 프로세스 흐름도이다. 방법(700)은 펄서(400)와 같은 파형 생성기 및/또는 시스템 컨트롤러(126)와 같은 제어 회로를 포함하는 파형 생성 시스템에 의해 수행될 수 있다.
[0049] 활동(702)에서, 파형 생성 시스템은 제1 동작 모드(예를 들어, 동작 모드(502)) 동안 다수의 스위치들을 제어함으로써 파형 생성기(예를 들어, 펄서(400))의 출력 전류 경로에 제1 전압 소스(예를 들어, 용량성 소자(402))를 통합한다. 활동(704)에서, 파형 생성 시스템은 제2 동작 모드(예를 들어, 동작 모드(504)) 동안, 다수의 스위치들을 제어함으로써 출력 전류 경로에 전류 소스(예를 들어, 유도성 소자(450) 및 용량성 소자(406))를 통합한다.
[0050] 일부 실시예들에서, 다수의 스위치들은 제1 스위치(예를 들어, 트랜지스터(410) 또는 트랜지스터(414)) 및 제2 스위치(예를 들어, 트랜지스터(412) 또는 트랜지스터(416))를 포함한다. 제1 전압 소스(예를 들어, 용량성 소자(402) 또는 용량성 소자(404))의 제1 단자는 제1 스위치의 제1 단자에 커플링되고, 제1 전압 소스의 제2 단자는 제2 스위치의 제1 단자에 커플링된다. 일부 실시예들에서, 다수의 스위치들은 또한, 전류 소스와 병렬로 커플링되는 제3 스위치(예를 들어, 트랜지스터(418))를 포함한다. 제3 스위치는 제1 스위치와 제2 스위치의 제2 단자들 사이의 공통 노드에 커플링될 수 있다. 일부 실시예들에서, 출력 전류 경로에 제1 전압 소스를 통합하는 것은 제1 스위치를 폐쇄하는 것, 제2 스위치를 개방하는 것, 및 제3 스위치를 폐쇄하는 것을 포함할 수 있다. 출력 전류 경로에 전류 소스를 통합하는 것은 제1 스위치를 폐쇄하는 것, 제2 스위치를 개방하는 것, 및 제3 스위치를 개방하는 것을 포함할 수 있다.
[0051] 일부 실시예들에서, 파형 생성 시스템은 제1 동작 모드(예를 들어, 동작 모드(502)) 동안, 다수의 스위치들을 제어함으로써 출력 전류 경로에 제2 전압 소스(예를 들어, 용량성 소자(404))를 통합한다. 다수의 스위치들은 제4 스위치(예를 들어, 트랜지스터(414)) 및 제5 스위치(예를 들어, 트랜지스터(416))를 더 포함할 수 있다. 제2 전압 소스의 제1 단자는 제4 스위치의 제1 단자에 커플링될 수 있고, 제2 전압 소스의 제2 단자는 제5 스위치의 제1 단자에 커플링될 수 있으며, 제4 스위치 및 제5 스위치의 제2 단자들 사이의 공통 노드는 제2 스위치(예를 들어, 트랜지스터(412)) 또는 제3 스위치(예를 들어, 트랜지스터(418))에 커플링될 수 있다. 일부 실시예들에서, 출력 전류 경로에 제2 전압 소스를 통합하는 것은 제4 스위치를 폐쇄하는 것 및 제5 스위치를 개방하는 것을 포함할 수 있다.
[0052] 일부 실시예들에서, 파형 생성 시스템은 또한 제3 동작 모드(예를 들어, 동작 모드(506)) 동안에 다수의 스위치들을 제어함으로써 출력 전류 경로에 제3 전압 소스(예를 들어, 용량성 소자(408))를 통합할 수 있다. 다수의 스위치들은 제6 스위치(예를 들어, 트랜지스터(420)) 및 제7 스위치(예를 들어, 트랜지스터(422))를 포함할 수 있다. 상기 제3 전압 소스의 제1 단자는 상기 제6 스위치의 제1 단자에 커플링될 수 있고, 상기 제3 전압 소스의 제2 단자는 상기 제7 스위치의 제1 단자에 커플링될 수 있으며, 상기 제6 스위치 및 제7 스위치의 제2 단자들 사이의 공통 노드는 제3 스위치(예를 들어, 트랜지스터(418))에 커플링될 수 있다. 일부 실시예들에서, 출력 전류 경로에 제3 전압 소스를 통합하는 것은 제6 스위치를 폐쇄하는 것 및 제7 스위치를 개방하는 것을 포함할 수 있다. 제6 스위치는 개방될 수 있고 제7 스위치는 제1 작동 모드 및 제2 작동 모드 동안 폐쇄될 수 있다. 일부 실시예들에서, 제1 전압 소스 또는 제2 전압 소스와 연관된 전압(예를 들어, 600 볼트)은 제3 전압 소스와 연관된 전압(예를 들어, 100 볼트)보다 크다.
[0053] 용어 "커플링된(coupled)"은 2개의 물체들 사이의 직접적 또는 간접적 커플링을 지칭하기 위해 본 명세서에서 사용된다. 예를 들어, 물체 A가 물체 B에 물리적으로 접촉하고 물체 B가 물체 C에 접촉하는 경우, 물체들 A와 C가 물리적으로 서로 직접 접촉하지 않더라도 물체들 A와 C는 서로 커플링된 것으로 여전히 간주될 수 있다. 예를 들어, 제1 물체가 제2 물체와 직접적으로 물리적으로 접촉하지 않더라도 제1 물체는 제2 물체에 커플링될 수 있다.
[0054] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있고, 그 범위는 후속하는 청구항들에 의해 결정된다.

Claims (20)

  1. 파형 생성기로서,
    제1 전압 스테이지 ― 상기 제1 전압 스테이지는,
    제1 전압 소스;
    제1 스위치; 및
    제2 스위치를 갖고, 상기 제1 전압 소스의 제1 단자는 상기 제1 스위치의 제1 단자에 커플링되고, 상기 제1 전압 소스의 제2 단자는 상기 제2 스위치의 제1 단자에 커플링됨 ―; 및
    상기 제1 스위치와 상기 제2 스위치의 제2 단자들 사이의 공통 노드에 커플링된 전류 스테이지를 포함하며, 상기 전류 스테이지는,
    전류 소스; 및
    상기 전류 소스에 커플링된 제3 스위치를 갖는,
    파형 생성기.
  2. 제1 항에 있어서,
    상기 제1 전압 소스는 용량성 소자를 포함하는,
    파형 생성기.
  3. 제1 항에 있어서,
    상기 전류 소스는,
    용량성 소자; 및
    상기 용량성 소자와 직렬로 커플링된 유도성 소자를 포함하는,
    파형 생성기.
  4. 제1 항에 있어서,
    제2 전압 스테이지를 더 포함하고, 상기 제2 전압 스테이지는,
    제2 전압 소스;
    제4 스위치; 및
    제5 스위치를 갖고, 상기 제2 전압 소스의 제1 단자는 상기 제4 스위치의 제1 단자에 커플링되고, 상기 제2 전압 소스의 제2 단자는 상기 제5 스위치의 제1 단자에 커플링되며, 그리고 상기 제4 스위치와 상기 제5 스위치의 제2 단자들 사이의 공통 노드는 상기 제1 전압 스테이지 또는 상기 전류 스테이지에 커플링되는,
    파형 생성기.
  5. 제4 항에 있어서,
    제3 전압 스테이지를 더 포함하고, 상기 제3 전압 스테이지는,
    제3 전압 소스;
    제6 스위치; 및
    제7 스위치를 갖고, 상기 제3 전압 소스의 제1 단자는 상기 제6 스위치의 제1 단자에 커플링되고, 상기 제3 전압 소스의 제2 단자는 상기 제7 스위치의 제1 단자에 커플링되며, 그리고 상기 제6 스위치와 상기 제7 스위치의 제2 단자들 사이의 공통 노드는 상기 제1 전압 스테이지, 상기 제2 전압 스테이지, 또는 상기 전류 스테이지에 커플링되는,
    파형 생성기.
  6. 제5 항에 있어서,
    상기 제1 전압 소스는 제1 용량성 소자를 포함하고;
    상기 제2 전압 소스는 제2 용량성 소자를 포함하며;
    상기 제3 전압 소스는 제3 용량성 소자를 포함하고; 그리고
    상기 파형 생성기는 상기 제1 용량성 소자, 상기 제2 용량성 소자, 및 상기 제3 용량성 소자를 충전하도록 구성된 하나 이상의 충전 회로들을 더 포함하는,
    파형 생성기.
  7. 제6 항에 있어서,
    상기 하나 이상의 충전 회로들은 상기 제1 및 제2 용량성 소자들보다 낮은 전압으로 상기 제3 용량성 소자를 충전하도록 구성되는,
    파형 생성기.
  8. 제1 항에 있어서,
    상기 제2 스위치의 상기 제1 단자는 상기 파형 생성기의 출력 노드에 커플링되는,
    파형 생성기.
  9. 제8 항에 있어서,
    상기 파형 생성기의 상기 출력 노드는 프로세싱 챔버에 커플링되는,
    파형 생성기.
  10. 제1 항에 있어서,
    상기 제3 스위치의 제1 단자와 제2 단자 사이에 커플링된 다이오드를 더 포함하는,
    파형 생성기.
  11. 제10 항에 있어서,
    상기 다이오드는 상기 제3 스위치의 바디(body) 다이오드를 포함하는,
    파형 생성기.
  12. 파형 생성을 위한 방법으로서,
    제1 동작 모드 동안, 다수의 스위치들을 제어함으로써 파형 생성기의 출력 전류 경로에 제1 전압 소스를 통합하는 단계; 및
    제2 동작 모드 동안, 상기 다수의 스위치들을 제어함으로써 상기 출력 전류 경로에 전류 소스를 통합하는 단계를 포함하며, 상기 다수의 스위치들은:
    제1 스위치;
    제2 스위치 ― 상기 제1 전압 소스의 제1 단자는 상기 제1 스위치의 제1 단자에 커플링되고, 상기 제1 전압 소스의 제2 단자는 상기 제2 스위치의 제1 단자에 커플링됨 ―; 및
    상기 전류 소스와 병렬로 커플링된 제3 스위치를 포함하고, 상기 제3 스위치는 상기 제1 스위치와 상기 제2 스위치의 제2 단자들 사이의 공통 노드에 커플링되는,
    파형 생성을 위한 방법.
  13. 제12 항에 있어서,
    상기 출력 전류 경로에 상기 제1 전압 소스를 통합하는 단계는, 상기 제1 스위치를 폐쇄하는 단계, 상기 제2 스위치를 개방하는 단계, 및 상기 제3 스위치를 폐쇄하는 단계를 포함하는,
    파형 생성을 위한 방법.
  14. 제12 항에 있어서,
    상기 출력 전류 경로에 상기 전류 소스를 통합하는 단계는, 상기 제1 스위치를 폐쇄하는 단계, 상기 제2 스위치를 개방하는 단계, 및 상기 제3 스위치를 개방하는 단계를 포함하는,
    파형 생성을 위한 방법.
  15. 제12 항에 있어서,
    상기 제1 동작 모드 동안, 상기 다수의 스위치들을 제어함으로써 상기 출력 전류 경로에 제2 전압 소스를 통합하는 단계를 더 포함하고, 상기 다수의 스위치들은,
    제4 스위치; 및
    제5 스위치를 더 포함하며,
    상기 제2 전압 소스의 제1 단자는 상기 제4 스위치의 제1 단자에 커플링되고,
    상기 제2 전압 소스의 제2 단자는 상기 제5 스위치의 제1 단자에 커플링되며,
    상기 제4 스위치와 상기 제5 스위치의 제2 단자들 사이의 공통 노드는 상기 제2 스위치 또는 상기 제3 스위치에 커플링되는,
    파형 생성을 위한 방법.
  16. 제15 항에 있어서,
    상기 출력 전류 경로에 상기 제2 전압 소스를 통합하는 단계는, 상기 제4 스위치를 폐쇄하는 단계 및 상기 제5 스위치를 개방하는 단계를 포함하는,
    파형 생성을 위한 방법.
  17. 제15 항에 있어서,
    제3 동작 모드 동안, 상기 다수의 스위치들을 제어함으로써 상기 출력 전류 경로에 제3 전압 소스를 통합하는 단계를 더 포함하고, 상기 다수의 스위치들은:
    제6 스위치; 및
    제7 스위치를 더 포함하며,
    상기 제3 전압 소스의 제1 단자는 상기 제6 스위치의 제1 단자에 커플링되고,
    상기 제3 전압 소스의 제2 단자는 상기 제7 스위치의 제1 단자에 커플링되며,
    상기 제6 스위치와 상기 제7 스위치의 제2 단자들 사이의 공통 노드는 상기 제3 스위치에 커플링되는,
    파형 생성을 위한 방법.
  18. 제17 항에 있어서,
    상기 출력 전류 경로에 상기 제3 전압 소스를 통합하는 단계는, 상기 제6 스위치를 폐쇄하는 단계 및 상기 제7 스위치를 개방하는 단계를 포함하고,
    상기 제1 동작 모드 및 상기 제2 동작 모드 동안 상기 제6 스위치는 개방되고 상기 제7 스위치는 폐쇄되는,
    파형 생성을 위한 방법.
  19. 파형 생성을 위한 장치로서,
    메모리; 및
    상기 메모리에 커플링된 하나 이상의 프로세서들을 포함하고, 상기 메모리 및 상기 하나 이상의 프로세서들은,
    제1 동작 모드 동안, 다수의 스위치들을 제어함으로써 파형 생성기의 출력 전류 경로에 제1 전압 소스를 통합하고; 그리고
    제2 동작 모드 동안, 상기 다수의 스위치들을 제어함으로써 상기 출력 전류 경로에 전류 소스를 통합하도록 구성되고, 상기 다수의 스위치들은,
    제1 스위치;
    제2 스위치 ― 상기 제1 전압 소스의 제1 단자는 상기 제1 스위치의 제1 단자에 커플링되고, 상기 제1 전압 소스의 제2 단자는 상기 제2 스위치의 제1 단자에 커플링됨 ―; 및
    상기 전류 소스와 병렬로 커플링된 제3 스위치를 포함하고, 상기 제3 스위치는 상기 제1 스위치와 상기 제2 스위치의 제2 단자들 사이의 공통 노드에 커플링되는,
    파형 생성을 위한 장치.
  20. 제19 항에 있어서,
    상기 메모리 및 상기 하나 이상의 프로세서들은, 상기 제1 스위치를 폐쇄하고, 상기 제2 스위치를 개방하고, 그리고 상기 제3 스위치를 폐쇄함으로써 상기 출력 전류 경로에 상기 제1 전압 소스를 통합하도록 구성되고, 그리고
    상기 메모리 및 상기 하나 이상의 프로세서들은 상기 제1 스위치를 폐쇄하고, 상기 제2 스위치를 개방하고, 그리고 상기 제3 스위치를 개방함으로써 상기 출력 전류 경로에 상기 전류 소스를 통합하도록 구성되는,
    파형 생성을 위한 장치.
KR1020237002923A 2021-06-23 2022-05-24 플라즈마 프로세싱 애플리케이션들을 위한 펄스 전압 소스 KR20230025486A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/356,446 US11569066B2 (en) 2021-06-23 2021-06-23 Pulsed voltage source for plasma processing applications
US17/356,446 2021-06-23
PCT/US2022/030723 WO2022271383A1 (en) 2021-06-23 2022-05-24 Pulsed voltage source for plasma processing applications

Publications (1)

Publication Number Publication Date
KR20230025486A true KR20230025486A (ko) 2023-02-21

Family

ID=84541250

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237002923A KR20230025486A (ko) 2021-06-23 2022-05-24 플라즈마 프로세싱 애플리케이션들을 위한 펄스 전압 소스

Country Status (5)

Country Link
US (3) US11569066B2 (ko)
KR (1) KR20230025486A (ko)
CN (1) CN116097393A (ko)
TW (1) TW202316478A (ko)
WO (1) WO2022271383A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117936352A (zh) * 2019-08-05 2024-04-26 株式会社日立高新技术 等离子处理装置
CN110740558B (zh) * 2019-10-18 2021-05-07 南昌大学 一种测量等离子体电子非广延参数的方法
US11569066B2 (en) * 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20240162007A1 (en) * 2022-11-10 2024-05-16 Applied Materials, Inc. Reducing aspect ratio dependent etch with direct current bias pulsing

Family Cites Families (586)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
JP2001520433A (ja) 1997-10-15 2001-10-30 東京エレクトロン株式会社 加速された粒子を発生させる装置並びに方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
TW426888B (en) 1998-09-18 2001-03-21 Tokyo Electron Ltd Plasma processing method
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
CN1241316C (zh) 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
EP1282909A1 (en) 1999-08-02 2003-02-12 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
ES2208530T3 (es) 2001-04-27 2004-06-16 European Community Metodo y aparato para el tratamiento secuencial por plasma.
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
KR100775175B1 (ko) 2001-10-31 2007-11-12 동경 엘렉트론 주식회사 높은 어스펙트비의 반도체장치 에칭방법
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
JP4644128B2 (ja) 2003-11-28 2011-03-02 株式会社アドバンテスト デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
TWI447802B (zh) 2004-06-21 2014-08-01 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
KR20080107473A (ko) 2004-11-04 2008-12-10 가부시키가이샤 알박 정전 척 장치
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
PL1701376T3 (pl) 2005-03-10 2007-04-30 Huettinger Elektronik Gmbh Co Kg Próżniowy generator plazmowy
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
EP1708239B1 (de) 2005-03-30 2011-03-02 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US7340357B2 (en) * 2005-05-27 2008-03-04 National Instruments Corporation Arbitrary waveform generator with configurable digital signal processing unit
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CN101405924B (zh) 2006-01-23 2012-07-11 奥德拉国际销售公司 用于受限电源的功率供应设备以及使用功率供应设备的音频放大器
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
WO2008071734A2 (en) 2006-12-12 2008-06-19 Oc Oerlikon Balzers Ag Arc suppression and pulsing in high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
EP2221614A1 (en) 2007-11-26 2010-08-25 Tokyo Electron Limited Microstructure inspecting device, and microstructure inspecting method
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
CN101960556B (zh) 2008-03-06 2013-09-18 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的***和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
EP2416629B1 (en) 2009-08-07 2021-04-21 Kyosan Electric Mfg. Co. Ltd Pulse-modulated high-frequency power control method and pulse-modulated high-frequency power source device
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757920B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
JP2013534970A (ja) 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP2926830B1 (en) 2010-08-31 2017-08-02 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
KR102046193B1 (ko) 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
WO2013118660A1 (ja) 2012-02-09 2013-08-15 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
JP5922218B2 (ja) 2012-02-20 2016-05-24 東京エレクトロン株式会社 電源システム及びプラズマ処理装置
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
US9644221B2 (en) 2012-03-30 2017-05-09 Toray Industries, Inc. Method of producing chemical by continuous fermentation and continuous fermentation apparatus
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014028050A1 (en) 2012-08-15 2014-02-20 Sun Catalytix Corporation High solubility iron hexacyanides
CN104756238B (zh) 2012-08-28 2017-12-15 先进能源工业公司 控制开关模式离子能量分布***的方法
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9396960B2 (en) 2012-11-01 2016-07-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
CN105122431A (zh) 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
US20140262755A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Uv-assisted reactive ion etch for copper
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
CN105247917B (zh) 2013-07-05 2019-04-05 富士通互联科技有限公司 参数获取方法、参数优化方法及其装置、***
WO2015009864A1 (en) 2013-07-17 2015-01-22 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes
KR20180110213A (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102133895B1 (ko) 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
CN106105033B (zh) 2013-11-14 2019-04-12 鹰港科技有限公司 高压纳秒脉冲发生器
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
US9412613B2 (en) 2014-01-08 2016-08-09 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
WO2015134398A1 (en) 2014-03-02 2015-09-11 Tokyo Electron Limited METHOD OF ENHANCING HIGH-k FILM NUCLEATION RATE AND ELECTRICAL MOBILITY IN A SEMICONDUCTOR DEVICE BY MICROWAVE PLASMA TREATMENT
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
WO2015148490A1 (en) 2014-03-24 2015-10-01 Advanced Energy Industries, Inc. System and method for control of high efficiency generator source impedance
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
US10672616B2 (en) 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
WO2016131061A1 (en) 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
CN115410804A (zh) 2015-11-30 2022-11-29 鹰港科技有限公司 高压变压器
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
WO2017100136A1 (en) 2015-12-07 2017-06-15 Applied Materials, Inc. Method and apparatus for clamping and declamping substrates using electrostatic chucks
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
KR102476353B1 (ko) * 2016-07-26 2022-12-09 삼성전자주식회사 반도체 설비의 설정 파형 발생기, 플라즈마 처리 장치, 플라즈마 처리 장치의 제어 방법 및 반도체 장치의 제조 방법
CN106407252B (zh) 2016-08-23 2019-03-15 平安科技(深圳)有限公司 旅游路线规划方法及服务器
WO2018048925A1 (en) 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
JP2020515063A (ja) 2017-03-17 2020-05-21 東京エレクトロン株式会社 エッチングメトリックを向上させるための表面改質制御
CN110771041B (zh) 2017-03-31 2023-10-03 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
US11114321B2 (en) 2017-08-17 2021-09-07 Tokyo Electron Limited Apparatus and method for real-time sensing of properties in industrial manufacturing equipment
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
EP3665775A4 (en) 2017-08-25 2020-07-22 Eagle Harbor Technologies, Inc. ARBITRARY WAVEFORM GENERATION USING NANO-SECOND PULSES
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
CN111226309B (zh) 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
KR20200074961A (ko) 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理***中的调制电源的改进应用
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
EP3762711A4 (en) 2018-03-08 2021-11-17 Eagle Harbor Technologies, Inc. PRECISION EDDY CURRENT SENSOR FOR NON-DESTRUCTIVE STRUCTURAL EVALUATION
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
KR20200139842A (ko) 2018-05-03 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 페데스탈들을 위한 rf 접지 구성
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10515781B1 (en) 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US11646210B2 (en) 2018-06-18 2023-05-09 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR102532203B1 (ko) 2018-07-31 2023-05-12 삼성전자 주식회사 전압 생성기, 전압 파형 생성기, 반도체 소자 제조 장치, 전압 파형 발생 방법 및 반도체 소자의 제조 방법
KR102499709B1 (ko) 2018-08-10 2023-02-16 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
WO2020051064A1 (en) 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
JP7355031B2 (ja) * 2018-11-05 2023-10-03 ソニーグループ株式会社 駆動回路、電子機器、および、駆動回路の制御方法
US10447174B1 (en) 2018-11-14 2019-10-15 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
SG11202107162UA (en) 2019-01-09 2021-07-29 Tokyo Electron Ltd Plasma treatment device and plasma treatment method
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
US11398369B2 (en) * 2019-06-25 2022-07-26 Applied Materials, Inc. Method and apparatus for actively tuning a plasma power source
KR20220027141A (ko) 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 rf 절연
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
KR20220070269A (ko) 2019-09-25 2022-05-30 이글 하버 테크놀로지스, 인코포레이티드 에너지 회수에 의한 비선형 전송 선로 고 전압 펄스 샤프닝
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR20220000274A (ko) * 2020-06-25 2022-01-03 삼성전자주식회사 전압 파형 생성기, 웨이퍼 처리 장치 및 플라즈마 처리 장치
US11569066B2 (en) * 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Also Published As

Publication number Publication date
TW202316478A (zh) 2023-04-16
JP2023542780A (ja) 2023-10-12
US11569066B2 (en) 2023-01-31
US20220415615A1 (en) 2022-12-29
CN116097393A (zh) 2023-05-09
WO2022271383A1 (en) 2022-12-29
US20240087848A1 (en) 2024-03-14
US20230029754A1 (en) 2023-02-02
US11887813B2 (en) 2024-01-30

Similar Documents

Publication Publication Date Title
US20240030002A1 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
KR20230025486A (ko) 플라즈마 프로세싱 애플리케이션들을 위한 펄스 전압 소스
US11476090B1 (en) Voltage pulse time-domain multiplexing
JP2024524944A (ja) イオン電流補償の装置及び方法
KR20230026506A (ko) 기판 프로세싱을 위한 펄스식 전압 부스트
KR20240017919A (ko) 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어
JP2024522091A (ja) イオンエネルギー制御を伴うプラズマ励起
WO2022260836A1 (en) Plasma chamber and chamber component cleaning methods
JP7516657B2 (ja) プラズマ処理用途のためのパルス電圧源
US20230071168A1 (en) Method and apparatus for digital control of ion energy distribution in a plasma
US11972924B2 (en) Pulsed voltage source for plasma processing applications
TWI846014B (zh) 電壓脈衝的時域多工
US20230170194A1 (en) Ion energy control on electrodes in a plasma reactor
US20240234087A1 (en) Pulsed voltage source for plasma processing applications