CN116844934A - 等离子体处理装置 - Google Patents

等离子体处理装置 Download PDF

Info

Publication number
CN116844934A
CN116844934A CN202310809321.5A CN202310809321A CN116844934A CN 116844934 A CN116844934 A CN 116844934A CN 202310809321 A CN202310809321 A CN 202310809321A CN 116844934 A CN116844934 A CN 116844934A
Authority
CN
China
Prior art keywords
frequency
frequency power
power supply
processing apparatus
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310809321.5A
Other languages
English (en)
Inventor
久保田绅治
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2019236679A external-priority patent/JP6797273B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN116844934A publication Critical patent/CN116844934A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在例示性实施方式的等离子体处理装置中,高频电源产生高频电力,以生成等离子体。偏置电源对下部电极周期性施加脉冲状的负极性的直流电压,以将离子引入基板支撑器。高频电源在脉冲状的负极性的直流电压未施加到下部电极的期间,作为一个以上的脉冲供应高频电力。高频电源在脉冲状的负极性的直流电压施加到下部电极的期间,停止供应高频电力。一个以上的脉冲中的每一个具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级。

Description

等离子体处理装置
本申请是申请日为2020年1月21日、申请号为202010070561.4、发明创造名称为“等离子体处理装置”的申请的分案申请。
技术领域
本发明的例示性实施方式涉及一种等离子体处理装置。
背景技术
在对基板的等离子体蚀刻中使用等离子体处理装置。等离子体处理装置具备腔室、基板支撑器及两个高频电源。基板支撑器包含下部电极。基板支撑器构成为在腔室内支撑基板。将气体供应到腔室内,以进行等离子体处理。从两个高频电源中的一个供应高频电力,以便由气体生成等离子体。并且,从两个高频电源中的另一个向下部电极供应高频偏置电力。这种等离子体处理装置记载于日本特开2000-173993号公报。
发明内容
本发明提供一种抑制在腔室内的气体的过度解离,并抑制通过等离子体蚀刻产生的反应生成物的再解离的技术。
在一例示性实施方式中,提供一种等离子体处理装置。等离子体处理装置具备腔室、基板支撑器、高频电源及偏置电源。基板支撑器具有下部电极。基板支撑器构成为在腔室内支撑基板。高频电源构成为产生高频电力,以在腔室内由气体生成等离子体。偏置电源构成为与下部电极电连接且产生用于将离子引入基板支撑器的高频偏置电力。偏置电源构成为作为偏置电力周期性产生脉冲状的负极性的直流电压。高频电源构成为在脉冲状的负极性的直流电压未施加到下部电极的第1期间,作为一个以上的脉冲供应高频电力。高频电源构成为在脉冲状的负极性的直流电压施加到下部电极的第2期间停止供应高频电力。高频电源以一个以上的脉冲中的每一个具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级(パワーレベル)的方式生成高频电力。
根据一例示性实施方式,能够抑制在腔室内的气体的过度解离,并抑制通过等离子体蚀刻产生的反应生成物的再解离。
附图说明
图1是概略表示一例示性实施方式所涉及的等离子体处理装置的图。
图2是表示一例示性实施方式所涉及的等离子体处理装置的高频电源及偏置电源的结构的图。
图3是高频电力、离子密度、电子温度及偏置电力的例示性时序图。
图4(a)是表示多个电力成分的合成电力的波形的一例的图,图4(b)是表示图4(a)所示的合成电力的功率谱的图,图4(c)是表示一例的高频电力HF的波形的图。
图5(a)是表示多个电力成分的合成电力的波形的一例的图,图5(b)是表示图5(a)所示的合成电力的功率谱的图,图5(c)是表示一例的高频电力HF的波形的图。
图6是概略表示另一例示性实施方式所涉及的等离子体处理装置的图。
图7是表示另一例示性实施方式所涉及的等离子体处理装置的高频电源及偏置电源的结构的图。
图8是高频电力、离子密度、电子温度及偏置电力的另一例示性时序图。
图9是高频电力、离子密度、电子温度及偏置电力的又一例示性时序图。
图10是高频电力及偏置电力的又一例示性时序图。
图11是表示另一例示性实施方式所涉及的高频电源的结构的图。
具体实施方式
以下,对各种例示性实施方式进行说明。
在一例示性实施方式中,提供一种等离子体处理装置。等离子体处理装置具备腔室、基板支撑器、高频电源及偏置电源。基板支撑器具有下部电极。基板支撑器构成为在腔室内支撑基板。高频电源构成为产生高频电力,以在腔室内由气体生成等离子体。偏置电源构成为与下部电极电连接且产生用于将离子引入基板支撑器的高频偏置电力。偏置电源构成为作为偏置电力周期性产生脉冲状的负极性的直流电压。高频电源构成为在脉冲状的负极性的直流电压未施加到下部电极的第1期间,作为一个以上的脉冲供应高频电力。高频电源构成为在脉冲状的负极性的直流电压施加到下部电极的第2期间,停止供应高频电力。高频电源以一个以上的脉冲中的每一个具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级(パワーレベル)的方式生成高频电力。
在脉冲状的负极性的直流电压施加到下部电极的第2期间,离子从等离子体朝向基板加速而引起基板的蚀刻。因此,在第2期间,从基板释放反应生成物。在等离子体的电子温度高的情况下,发生反应生成物的再解离。通过反应生成物的再解离生成的物质可堆积在基板上。在上述实施方式中,在第2期间未供应高频电力,因此在第2期间等离子体的电子温度低。因此,根据上述实施方式,可抑制反应生成物的再解离。并且,在脉冲状的负极性的直流电压未施加到下部电极的第1期间,作为一个以上的脉冲供应高频电力。一个以上的脉冲中的每一个功率级逐渐上升至其峰值为止。因此,可抑制电子温度的过冲。其结果,根据上述实施方式,可抑制气体的过度解离。
在一例示性实施方式中,等离子体处理装置还可以具备构成为控制偏置电源,以设定脉冲状的负极性的直流电压周期的相位的控制部。根据该实施方式,能够调整一个以上的脉冲的供应的结束时刻与脉冲状的负极性的直流电压对下部电极施加的开始时刻之间的时间差。因此,能够在对下部电极开始施加脉冲状的负极性的直流电压之前调整等离子体的电子温度。
在一例示性实施方式中,控制部可以进一步控制偏置电源,以设定脉冲状的负极性的直流电压的持续时间长度。根据该实施方式,能够调整脉冲状的负极性的直流电压对下部电极施加的结束时刻与一个以上的脉冲的供应的开始时刻之间的时间差。
在另一例示性实施方式中也提供一种等离子体处理装置。等离子体处理装置具备腔室、基板支撑器、高频电源及偏置电源。基板支撑器具有下部电极。基板支撑器构成为在腔室内支撑基板。高频电源构成为产生高频电力,以在腔室内由气体生成等离子体。偏置电源构成为与下部电极电连接且产生用于将离子引入基板支撑器的高频偏置电力。偏置电源构成为产生高频偏置电力作为偏置电力。高频电源构成为在从偏置电源输出的高频偏置电力具有正电压的第1期间,作为一个以上的脉冲供应高频电力。高频电源构成为在从偏置电源输出的高频偏置电力具有负电压的第2期间停止供应高频电力。高频电源以一个以上的脉冲中的每一个具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级的方式生成该脉冲状的高频电力。
在从偏置电源输出的高频偏置电力具有负电压的第2期间,离子从等离子体朝向基板加速而引起基板的蚀刻。因此,在第2期间,从基板释放反应生成物。在等离子体的电子温度高的情况下,发生反应生成物的再解离。通过反应生成物的再解离生成的物质可堆积在基板上。在上述实施方式中,在第2期间未供应高频电力,因此在第2期间等离子体的电子温度低。因此,根据上述实施方式,可抑制反应生成物的再解离。并且,在从偏置电源输出的高频偏置电力具有正电压的第1期间,作为一个以上的脉冲供应高频电力。一个以上的脉冲中的每一个功率级逐渐上升至其峰值为止。因此,可抑制电子温度的过冲。其结果,根据上述实施方式,可抑制气体的过度解离。
在一例示性实施方式中,等离子体处理装置还可以具备构成为控制偏置电源,以设定高频偏置电力的相位的控制部。根据该实施方式,能够调整一个以上的脉冲的供应的结束时刻与下部电极的电位具有负峰值的时刻之间的时间差。
在一例示性实施方式中,一个以上的脉冲中的每一个上升时间可以比能够从高频电源输出的高频电力的脉冲的最小上升时间更长。
在一例示性实施方式中,高频电源可以具有发电机及输出部。发电机构成为产生高频电力。输出部构成为输出通过发电机产生的高频电力。
在一例示性实施方式中,通过发电机生成的高频电力包含多个电力成分。多个电力成分分别具有多个频率。多个频率以指定频率的间隔相对于基本频率对称设定。高频电力的包络线具有以指定频率或以该指定频率的2倍以上倍数的频率且按规定的时间间隔周期性出现的峰值。高频电力的功率级设定成在除了峰值的每一个出现时刻紧前的包络线的零交叉区域与该出现时刻紧后的包络线的零交叉区域之间的期间以外的期间为零。
在一例示性实施方式中,发电机可以具有波形数据生成部、量化部、傅里叶逆变换部及调制部。量化部构成为对通过波形数据生成部生成的波形数据进行量化来生成量化数据。傅里叶逆变换部构成为对量化数据适用傅里叶逆变换来生成I数据及Q数据。调制部构成为利用I数据及Q数据调制相位彼此相差90°的两个基准高频信号来生成调制高频信号。在该实施方式中,发电机构成为根据调制高频信号生成高频电力。
在一例示性实施方式中,发电机还可以具有构成为将调制高频信号放大来生成高频电力的放大器。
在一例示性实施方式中,高频电源可以构成为能够调整一个以上的脉冲中的每一个上升时间。
在一例示性实施方式中,高频电源可以构成为在第1期间,作为一个以上的脉冲依次供应多个脉冲。
以下,参考附图对各种例示性实施方式进行详细说明。另外,在各附图中,对于相同或相应的部分标注相同的符号。
图1是概略表示一例示性实施方式所涉及的等离子体处理装置的图。图1所示的等离子体处理装置1是电容耦合型等离子体处理装置。等离子体处理装置1具备腔室10。腔室10在其内部设置有内部空间10s。
腔室10包含腔室主体12。腔室主体12具有大致圆筒形状。内部空间10s设置于腔室主体12的内侧。腔室主体12例如由铝形成。腔室主体12的内壁面上设置有具有耐蚀性的膜。具有耐蚀性的膜可以是由氧化铝、氧化钇等陶瓷形成的膜。
在腔室主体12的侧壁形成有通路12p。基板W在内部空间10s与腔室10的外部之间被搬送时,通过通路12p。通路12p能够通过闸阀12g进行开闭。闸阀12g沿腔室主体12的侧壁设置。
在腔室主体12的底部上设置有支撑部13。支撑部13由绝缘材料形成。支撑部13具有大致圆筒形状。在内部空间10s中,支撑部13从腔室主体12的底部向上方延伸。支撑部13支撑基板支撑器14。基板支撑器14构成为在内部空间10s中支撑基板W。
基板支撑器14具有下部电极18及静电卡盘20。基板支撑器14还可以具有电极板16。电极板16、下部电极18及静电卡盘20设置于腔室10内。电极板16例如由铝等导体形成,具有大致圆盘形状。下部电极18设置于电极板16上。下部电极18例如由铝等导体形成,具有大致圆盘形状。下部电极18与电极板16电连接。
静电卡盘20设置于下部电极18上。在静电卡盘20的上表面上载置有基板W。静电卡盘20具有主体及电极。静电卡盘20的主体具有大致圆盘形状,由介电质形成。静电卡盘20的电极为膜状的电极,并设置于静电卡盘20的主体内。静电卡盘20的电极经由开关20s与直流电源20p连接。若对静电卡盘20的电极施加源自直流电源20p的电压,则在静电卡盘20与基板W之间产生静电引力。通过所产生的静电引力,基板W被吸附在静电卡盘20而被静电卡盘20保持。
在基板支撑器14的周缘部上搭载有聚焦环FR。设置聚焦环FR,以提高对基板W的等离子体处理的面内均匀性。聚焦环FR呈大致板状且环状。聚焦环FR并不受限定,但可由硅、碳化硅或石英形成。基板W配置于静电卡盘20上且被聚焦环FR包围的区域内。
在下部电极18的内部设置有流路18f。经由配管22a从设置于腔室10的外部的冷却单元22对流路18f供应热交换介质(例如制冷剂)。供应到流路18f的热交换介质经由配管22b返回到冷却单元22。在等离子体处理装置1中,通过热交换介质与下部电极18的热交换来调节载置于静电卡盘20上的基板W的温度。
等离子体处理装置1中设置有气体供应管路24。气体供应管路24对静电卡盘20的上表面与基板W的背面之间的间隙供应来自传热气体供应机构的传热气体(例如He气体)。
等离子体处理装置1还具备上部电极30。上部电极30设置于基板支撑器14的上方。上部电极30经由部件32被支撑于腔室主体12的上部。部件32由具有绝缘性的材料形成。上部电极30和部件32封闭腔室主体12的上部开口。
上部电极30可包含顶板34及支撑体36。顶板34的下表面是内部空间10s侧的下表面,并界定内部空间10s。顶板34可由焦耳热少的低电阻的导电体或半导体形成。顶板34上形成有多个气体吐出孔34a。多个气体吐出孔34a将顶板34沿其板厚方向贯穿。
支撑体36装卸自如地支撑顶板34。支撑体36由铝等导电性材料形成。支撑体36的内部设置有气体扩散室36a。支撑体36上形成有多个气体孔36b。多个气体孔36b从气体扩散室36a向下方延伸。多个气体孔36b分别与多个气体吐出孔34a连通。支撑体36上形成有气体导入口36c。气体导入口36c与气体扩散室36a连接。气体供应管38连接于气体导入口36c。
经由阀组41、流量控制器组42及阀组43,气源组40连接于气体供应管38。气源组40包含多个气源。阀组41及阀组43中的每一个包含多个开闭阀。流量控制器组42包含多个流量控制器。流量控制器组42的多个流量控制器中的每一个为质量流量控制器或压力控制式的流量控制器。气源组40的多个气源中的每一个经由阀组41的对应开闭阀、流量控制器组42的对应流量控制器及阀组43的对应开闭阀,与气体供应管38连接。
等离子体处理装置1中,沿腔室主体12的内壁面,装卸自如地设置有屏蔽件46。屏蔽件46还设置于支撑部13的外周。屏蔽件46防止蚀刻副产物附着在腔室主体12。屏蔽件46例如通过在由铝形成的部件的表面形成具有耐蚀性的膜来构成。具有耐蚀性的膜可以是由氧化钇等陶瓷形成的膜。
在支撑部13与腔室主体12的侧壁之间设置有隔板48。隔板48例如通过在由铝形成的部件的表面形成具有耐蚀性的膜来构成。具有耐蚀性的膜可以是由氧化钇等陶瓷形成的膜。隔板48上形成有多个贯穿孔。在隔板48的下方且腔室主体12的底部设置有排气口12e。排气装置50经由排气管52与排气口12e连接。排气装置50具有压力调节阀及涡轮分子泵等真空泵。
等离子体处理装置1还可以具备高频电源61。高频电源61构成为产生高频电力HF。高频电力HF的基本频率例如是在13MHz~200MHz的范围内的频率。高频电源61供应高频电力HF,以便由腔室10内的气体生成等离子体。高频电源61经由匹配器62与下部电极18电连接。匹配器62具有匹配电路。匹配器62的匹配电路构成为使高频电源61的负荷侧(下部电极侧)的阻抗与高频电源61的输出阻抗匹配。在匹配器62与下部电极18之间可以设置有滤波器63。滤波器63构成为使高频电力HF通过且减少或切断朝向高频电源61的其他信号。另外,在另一实施方式中,高频电源61可以经由匹配器62与上部电极30电连接。
等离子体处理装置1还可以具备偏置电源81。偏置电源81与下部电极18电连接。偏置电源81构成为产生偏置电力BP,以将离子引入基板支撑器14。偏置电力BP供应至下部电极18。在偏置电源81与下部电极18之间可以设置有滤波器83。滤波器83构成为使偏置电力BP通过,并减少或切断朝向偏置电源81的其他信号。
以下,与图1一同参考图2及图3。图2是表示一例示性实施方式所涉及的等离子体处理装置的高频电源及偏置电源的结构的图。图3是高频电力(HF)、离子密度(Ni)、电子温度(Te)及偏置电力(脉冲状的负极性的直流电压BV)的例示性时序图。
在一实施方式中,偏置电源81构成为作为偏置电力BP以周期CP周期性产生脉冲状的负极性的直流电压BV。将直流电压BV施加到下部电极18。脉冲状的负极性的直流电压BV的重复频率,即,周期CP的倒数例如是在1kHz~800kHz的范围内的频率。
在一实施方式中,偏置电源81可以具有直流电源81a及开关元件81b。直流电源81a是产生负极性的直流电压的直流电源。直流电源81a可以是可变直流电源。开关元件81b连接于直流电源81a与下部电极18之间。若开关元件81b成为导通状态,则直流电源81a与下部电极18导通而将负极性的直流电压施加到下部电极18。另一方面,若开关元件81b成为非导通状态,则直流电源81a与下部电极18电切断而停止对下部电极18施加负极性的直流电压。通过所述开关元件81b的状态切换,生成脉冲状的负极性的直流电压BV。开关元件81b的导通状态及非导通状态通过后述的控制部80或另一控制器进行控制。
高频电源61构成为在第1期间P1供应高频电力HF作为一个以上的脉冲PL。在等离子体处理装置1的情况下,第1期间P1是脉冲状的负极性的直流电压BV未施加到下部电极18的期间。在图3所示的例中,在单个第1期间P1,供应高频电力HF中的一个脉冲PL。
高频电源61构成为在第2期间P2停止供应高频电力HF。在等离子体处理装置1的情况下,第2期间P2是脉冲状的负极性的直流电压BV施加到下部电极18的期间。
高频电源61以各脉冲PL具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级的方式生成高频电力。高频电力HF的各脉冲PL的上升时间可以设定成比能够从高频电源61输出的高频电力的脉冲的最小上升时间更长的时间。
腔室10内的等离子体中的离子在第2期间P2朝向基板W加速。其结果,在第2期间P2引起基板W的蚀刻。因此,在第2期间P2,从基板W释放反应生成物。在等离子体的电子温度高的情况下,在等离子体中发生反应生成物的再解离。通过反应生成物的再解离产生的物质可堆积在基板W上。在等离子体处理装置1中,在第2期间P2未供应高频电力HF,因此在第2期间P2,等离子体的电子温度低。因此,通过等离子体处理装置1,可抑制反应生成物的再解离。若反应生成物的再解离得到抑制,则可抑制在基板W上形成堆积物。其结果,可抑制因等离子体蚀刻而形成于基板W的开口的缩小或堵塞。
并且,在第1期间P1,供应高频电力HF作为一个以上的脉冲PL。各脉冲PL的功率级逐渐上升至其峰值。因此,可抑制电子温度的过冲。其结果,通过等离子体处理装置1,可抑制气体的过度解离。因此,通过等离子体处理装置1,能够以相对高的蚀刻速率蚀刻基板W。
在一实施方式中,如图2所示,高频电源61可以具有发电机61g及输出部61a。发电机61g构成为产生高频电力HF。输出部61a构成为输出通过发电机61g产生的高频电力HF。输出部61a经由匹配器62与下部电极18电连接。
图4(a)是表示多个电力成分的合成电力的波形的一例的图,图4(b)是表示图4(a)所示的合成电力的功率谱的图,图4(c)是表示一例的高频电力HF的波形的图。如图4(c)所示,高频电力HF是周期性供应的脉冲状的高频电力。即,高频电力HF包含周期性出现的脉冲PL。
在一实施方式中,高频电力HF包含多个电力成分。多个电力成分分别具有多个频率。如图4(b)所示,多个频率相对于基本频率f0对称设定。基本频率f0例如是在13MHz~200MHz的范围内的频率。在一例中,基本频率f0是40.68MHz。并且,如图4(b)所示,多个频率以指定频率fP的间隔设定。在一实施方式中,频率fP是周期CP的倒数。图4(b)所示的例中,多个电力成分各自的频率为f0-(3/2)×fP、f0-fP/2、f0+fP/2、f0+(3/2)×fP
如图4(a)所示,多个电力成分的合成电力的包络线包含多个峰值组。多个峰值组中的每一个包含周期性出现的多个峰值。多个峰值组中的每一个所包含的多个峰值以时间间隔TP周期性出现。时间间隔TP是频率fP的倒数。
如图4(c)所示,高频电力HF的包络线具有以时间间隔TP出现的峰值。在一实施方式中,高频电力HF由包含在多个峰值组中具有最大功率级的峰值PM的峰值组构成。如图4(c)所示,高频电力HF设定成其功率级在期间PA为零。期间PA是除了期间PP以外的期间。期间PP是分别出现高频电力HF的包络线的峰值的期间。在一实施方式中,期间PP是分别出现峰值PM的期间。每一个期间PP是高频电力HF的包络线的对应峰值出现时刻紧前的包络线的零交叉区域ZA与该出现时刻紧后的包络线的零交叉区域ZB之间的期间。零交叉区域ZA及零交叉区域ZB可以是高频电力HF的包络线的振幅具有实质上可视为零的值的时刻。例如,零交叉区域ZA及零交叉区域ZB中的每一个可以是包络线的功率级相对于高频电力HF的包络线的峰值的功率级具有30%以下或10%以下的功率级的时刻。
如图4(c)所示,高频电力HF的各脉冲具有逐渐增加至其峰值的功率级。并且,各脉冲具有从其峰值逐渐减少的功率级。在除了各脉冲紧前的零交叉区域ZA与紧后的零交叉区域ZB之间的期间PP以外的期间PA,即在除了各脉冲的持续期间以外的期间,将高频电力HF的功率级设定为零。所述高频电力HF的带宽窄。因此,根据一实施方式的高频电源61,能够使脉冲状的高频电力HF的带宽变窄。因此,通过等离子体处理装置1,能够抑制对高频电力HF的反射波。
在一实施方式中,如图2所示,发电机61g可具有调制信号发生部64。在一实施方式中,发电机61g还可以具有放大器65。调制信号发生部64生成调制高频信号。高频电力HF可以是通过调制信号发生部64生成的调制高频信号。此时,无需放大器65。或者,高频电力HF也可以通过由放大器65放大调制高频信号而生成。
在一实施方式中,调制信号发生部64具有波形数据生成部71、量化部72、傅里叶逆变换部73及调制部74。在一实施方式中,调制信号发生部64还可以具有D/A转换部75、76及低通滤波器77、78。调制信号发生部64可以由例如FPGA(现场可编程逻辑闸阵列:Field-Programmable Gate Array)构成。或者,调制信号发生部64也可以由几个电路形成。
波形数据生成部71生成与调制高频信号对应的波形数据。波形数据生成部71构成为从输入装置获得用于生成波形数据的参数(例如频率及相位等)并利用所获得的参数生成波形数据。波形数据生成部71将所生成的波形数据输出至量化部72。
量化部72构成为对通过波形数据生成部71生成的波形数据进行量化来生成量化数据。傅里叶逆变换部73构成为对量化数据适用傅里叶逆变换来生成I数据(同相成分)及Q数据(正交相位成分)。I数据及Q数据分别经由D/A转换部75、76和低通滤波器77、78输入至调制部74。
调制部74构成为利用所输入的I数据及Q数据分别调制相位彼此相差90°的两个基准高频信号来生成调制高频信号。
在一实施方式中,调制部74具有PLL振荡器74a(锁相环(Phase Locked Loop)振荡器)、移相器74b、混频器74c、74d及合成器74e。
PLL振荡器74a构成为生成基准高频信号。基准高频信号输入至混频器74c。并且,基准高频信号输入至移相器74b。移相器74b构成为生成相对于输入至混频器74c的基准高频信号具有相差90°的相位的基准高频信号。具体而言,移相器74b构成为将所输入的基准高频信号的相位偏移90°。将通过移相器74b生成的基准高频信号输入至混频器74d。
混频器74c构成为将所输入的基准高频信号与I数据相乘。将通过混频器74c的乘法运算生成的信号输入至合成器74e。混频器74d构成为将所输入的基准高频信号与Q数据相乘。将通过混频器74d的乘法运算生成的信号输入至合成器74e。合成器74e构成为分别将从混频器74c和混频器74d输入的信号相加来生成调制高频信号。
在一实施方式中,等离子体处理装置1还可以具备控制部80。控制部80可以是具备处理器、存储器等存储部、输入装置、显示装置、信号的输入/输出接口等的计算机。控制部80控制等离子体处理装置1的各部。在控制部80中,操作者能够用输入装置进行指令的输入操作等,以管理等离子体处理装置1,。并且,在控制部80中,通过显示装置,能够可视化显示等离子体处理装置1的工作状况。而且,在控制部80的存储部储存控制程序及配方数据。通过控制部80的处理器执行控制程序,以在等离子体处理装置1中执行各种处理。控制部80的处理器执行控制程序,按照配方数据控制等离子体处理装置1的各部,由此在等离子体处理装置1中执行等离子体处理。
在一实施方式中,控制部80或另一控制器可以控制偏置电源81的相位,以设定直流电压BV的周期CP的相位。根据该实施方式,能够调整脉冲PL的供应的结束时刻与脉冲状的负极性的直流电压BV对下部电极18施加的开始时刻之间的时间差T1(图3参照)。因此,能够在对下部电极18开始施加脉冲状的负极性的直流电压BV之前调整等离子体的电子温度。
更具体而言,控制部80或另一控制器控制偏置电源81,以设定负极性的直流电压BV的相位,即负极性的直流电压BV的供应开始的时刻。在一实施方式中,控制部80或另一控制器控制开关元件81b从非导通状态切换为导通状态的时刻。由此,控制时间差T1。
在一实施方式中,控制部80或另一控制器可以进一步控制偏置电源81,以设定脉冲状的负极性的直流电压BV的持续时间长度PW(图3)。在一实施方式中,控制部80或另一控制器通过控制开关元件81b维持导通状态的时间长度来设定持续时间长度PW。由此,能够调整脉冲状的负极性的直流电压BV对下部电极18施加的结束时刻与脉冲PL的供应的开始时刻之间的时间差T2(图3)。
以下,参考图5(a)、图5(b)及图5(c)。在另一例中,如图5(b)所示,高频电力HF的多个电力成分的频率为f0-2×fP、f0-fP、f0、f0+fP、f0+2×fP。在该例中,如图5(a)所示,多个电力成分的合成电力的包络线包含四个峰值组。在该例中,如图5(c)所示,高频电力HF由包含在四个峰值组中的最大峰值的峰值组构成。另外,高频电力HF可以由两个以上的电力成分构成。两个以上的电力成分各自的频率相对于基本频率f0对称设定且按照以指定频率fP规定的间隔设定。
以下,参考图6、图7及图8对另一实施方式所涉及的等离子体处理装置进行说明。图6是概略表示另一例示性实施方式所涉及的等离子体处理装置的图。图7是表示另一例示性实施方式所涉及的等离子体处理装置的高频电源及偏置电源的结构的图。图8是高频电力(HF)、离子密度(Ni)、电子温度(Te)及偏置电力(高频偏置电力LF)的另一例示性时序图。
另一实施方式所涉及的等离子体处理装置1B具备偏置电源81B及滤波器83B而不是偏置电源81及滤波器83。等离子体处理装置1B还具备匹配器82B。在其他方面,等离子体处理装置1B与等离子体处理装置1同样地构成。
偏置电源81B构成为产生高频偏置电力LF作为用于将离子引入基板支撑器14的偏置电力BP。高频偏置电力LF的频率是周期CP的倒数。高频偏置电力LF的频率低于基本频率f0。高频偏置电力LF的频率是例如在400kHz~13.56MHz的范围内的频率。在一例中,高频偏置电力LF的频率为400kHz。在一实施方式中,高频偏置电力LF的频率可以是上述频率fP。高频偏置电力LF供应至下部电极18。
偏置电源81B经由匹配器82B与下部电极18电连接。匹配器82B具有匹配电路。匹配器82B的匹配电路构成为使偏置电源81B的负荷侧(下部电极侧)的阻抗与偏置电源81B的输出阻抗匹配。在匹配器82B与下部电极18之间可以设置有滤波器83B。滤波器83B构成为使高频偏置电力LF通过,并减少或切断朝向偏置电源81B的其他信号。
如图7所示,在一实施方式中,偏置电源81B可以具有信号发生器81Ba及放大器81Bb。信号发生器81Ba构成为产生与高频偏置电力LF的频率具有相同频率的高频信号。将通过信号发生器81Ba生成的高频信号输入至放大器81Bb。放大器81Bb构成为将所输入的高频信号放大来生成高频偏置电力LF。
在等离子体处理装置1B中,如图8所示,高频电源61构成为在从偏置电源81B输出的高频偏置电力LF具有正电压的第1期间P1,作为一个以上的脉冲PL供应高频电力HF。在图8所示的例中,在单个第1期间P1,供应高频电力HF中的一个脉冲PL。
在等离子体处理装置1B中,高频电源61构成为在从偏置电源81B输出的高频偏置电力LF具有负电压的第2期间P2停止供应高频电力HF。
在等离子体处理装置1B中,也与等离子体处理装置1同样地,高频电源61以各脉冲PL具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级的方式生成高频电力HF。在等离子体处理装置1B中,也与等离子体处理装置1同样地,高频电力HF的各脉冲PL的上升时间可以设定成比能够从高频电源61输出的高频电力的脉冲的最小上升时间更长的时间。
腔室10内的等离子体中的离子在第2期间P2朝向基板W加速。其结果,在第2期间P2引起基板W的蚀刻。因此,在第2期间P2,从基板W释放反应生成物。在等离子体的电子温度高的情况下,发生反应生成物的再解离。通过反应生成物的再解离产生的物质可堆积在基板W上。在等离子体处理装置1B中,在第2期间P2未供应高频电力HF,因此在第2期间P2,等离子体的电子温度低。因此,通过等离子体处理装置1B,可抑制反应生成物的再解离。若反应生成物的再解离得到抑制,则可抑制在基板W上形成堆积物。其结果,可抑制通过等离子体蚀刻形成于基板W的开口的缩小或堵塞。
并且,在第1期间P1,供应高频电力HF作为一个以上的脉冲PL。各脉冲PL的功率级逐渐上升至其峰值。因此,可抑制电子温度的过冲。其结果,通过等离子体处理装置1B,可抑制气体的过度解离。因此,通过等离子体处理装置1B,能够以相对高的蚀刻速率蚀刻基板W。
在一实施方式中,控制部80或另一控制器可以控制偏置电源81B,以设定高频偏置电力LF的相位。具体而言,通过由控制部80或另一控制器提供的时钟信号使高频电源61与偏置电源81B彼此同步。控制部80或另一控制器对偏置电源81B提供设定高频偏置电力LF的相位的信号,以设定高频电力HF与高频偏置电力LF之间的相位差。偏置电源81B以所提供的相位输出高频偏置电力LF。根据该实施方式,能够调整脉冲PL的供应的结束时刻与下部电极18的电位具有负峰值的时刻之间的时间差TA。并且,能够调整下部电极18的电位具有负峰值的时刻与脉冲PL的供应的开始时刻之间的时间差TB。
以下,参考图9。图9是高频电力(HF)、离子密度(Ni)、电子温度(Te)及偏置电力(脉冲状的负极性的直流电压BV)的又一例示性时序图。如图9所示,在又一实施方式中,高频电源61也以各脉冲PL具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级的方式生成高频电力HF。在又一实施方式中,高频电力HF的各脉冲PL的上升时间可以设定成比能够从高频电源61输出的高频电力的脉冲的最小上升时间更长的时间。在该实施方式中,高频电源61可以具有调整高频电力的矩形脉冲的上升时间,即斜升时间的斜升电路或斜升功能。另外,如图9所示,在又一实施方式中,通过高频电源61生成的各脉冲PL的下降时间可以比各脉冲PL的上升时间短。例如,各脉冲实质上可以瞬间或连续从ON切换成OFF。并且,在图9所示的实施方式中,作为偏置电力可使用高频偏置电力LF来代替脉冲状的负极性的直流电压BV。
以下,参考图10。图10是高频电力(HF)及偏置电力(脉冲状的负极性的直流电压BV)的又一例示性时序图。在又一实施方式中,如图10所示,高频电源61可以在第1期间P1内产生多个脉冲PL,以生成等离子体。在第1期间P1,连续或间断且依次供应多个脉冲PL。根据该实施方式,第1期间P1内的等离子体的电子温度的平均值变低。因此,可进一步抑制腔室10内的气体的过度解离。另外,在图10所示的实施方式中,作为偏置电力可以使用高频偏置电力LF来代替脉冲状的负极性的直流电压BV。
以下,参考图11。图11是表示另一例示性实施方式所涉及的高频电源的结构的图。如图11所示,在另一实施方式中,可以使用高频电源61B来代替高频电源61。高频电源61B具有发电机61Bg及输出部61a。发电机61Bg构成为产生高频电力HF。在高频电源61B中,输出部61a构成为输出通过发电机61Bg生成的高频电力HF。
发电机61Bg具有调制信号发生部64B。发电机61Bg还可以具有放大器65。调制信号发生部64B生成调制高频信号。高频电力HF可以是通过调制信号发生部64B生成的调制高频信号。此时,无需放大器65。或者,高频电力HF也可以通过由放大器65放大调制高频信号而生成。
调制信号发生部64B可以具有多个信号发生器911~91N、加法器92及开关电路93。在此,“N”是2以上的整数。多个信号发生器911~91N构成为分别产生多个高频信号。多个高频信号各自的频率相对于基本频率f0对称设定。多个高频信号各自的频率以指定频率fP的间隔设定。
加法器92构成为将源自多个信号发生器911~91N的多个高频信号相加来生成合成信号。合成信号的包络线具有以时间间隔TP周期性出现的峰值。开关电路93构成为根据合成信号生成调制高频信号。关于调制高频信号,其振幅级(振幅レベル)设定成在除了合成信号的包络线的峰值的每一个出现时刻紧前的该包络线的零交叉区域ZA与该出现时刻紧后的该包络线的零交叉区域ZB之间的期间PP以外的期间PA为零。所述高频电源61B也能够与高频电源61同样地生成高频电力HF。即,高频电源61B也能够生成高频电力的脉冲。
以上,对各种例示性实施方式进行了说明,但并不限定于上述例示性实施方式,可以进行各种追加、省略、置换及变更。并且,能够组合不同实施方式的要件来形成另一实施方式。
在另一实施方式中,等离子体处理装置可以是感应耦合型的等离子体处理装置等其他类型的等离子体处理装置。在感应耦合型的等离子体处理装置中,高频电力HF供应至用于生成感应耦合等离子体的天线。
从以上说明可知,本发明的各种实施方式在本说明书中以说明的目的进行说明,在不脱离本发明的范围及主旨的情况下能够进行各种变更。因此,本说明书中公开的各种实施方式并不旨在限定,通过附加的技术方案的范围示出真正的范围和主旨。

Claims (12)

1.一种等离子体处理装置,其具备:
腔室;
基板支撑器,其构成为具有下部电极且在所述腔室内支撑基板;
高频电源,其构成为产生高频电力,以在所述腔室内由气体生成等离子体;及
偏置电源,其构成为与所述下部电极电连接且产生用于将离子引入所述基板支撑器的偏置电力,
所述偏置电源构成为作为所述偏置电力周期性产生脉冲状的负极性的直流电压,
所述高频电源构成为在所述脉冲状的负极性的直流电压未施加到所述下部电极的第1期间,作为一个以上的脉冲供应所述高频电力,在所述脉冲状的负极性的直流电压施加到所述下部电极的第2期间,停止供应所述高频电力,
所述高频电源以所述一个以上的脉冲中的每一个具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级的方式生成所述高频电力。
2.根据权利要求1所述的等离子体处理装置,其还具备:
控制部,其构成为控制所述偏置电源,以设定所述脉冲状的负极性的直流电压的周期的相位。
3.根据权利要求2所述的等离子体处理装置,其中,
所述控制部构成为进一步控制所述偏置电源,以设定所述脉冲状的负极性的直流电压的持续时间长度。
4.一种等离子体处理装置,其具备:
腔室;
基板支撑器,其构成为具有下部电极且在所述腔室内支撑基板;
高频电源,其构成为产生高频电力,以在所述腔室内由气体生成等离子体;及
偏置电源,其构成为与所述下部电极电连接且产生用于将离子引入所述基板支撑器的偏置电力,
所述偏置电源构成为作为所述偏置电力产生高频偏置电力,
所述高频电源在从所述偏置电源输出的所述高频偏置电力具有正电压的第1期间,作为一个以上的脉冲供应所述高频电力,在从所述偏置电源输出的所述高频偏置电力具有负电压的第2期间,停止供应所述高频电力,
所述高频电源以所述一个以上的脉冲中的每一个具有从其开始时刻到其峰值出现的时刻为止逐渐增加的功率级的方式生成所述高频电力。
5.根据权利要求4所述的等离子体处理装置,其还具备:
控制部,其构成为控制所述偏置电源,以设定所述高频偏置电力的相位。
6.根据权利要求1至5中任一项所述的等离子体处理装置,其中,
所述一个以上的脉冲中的每一个上升时间比能够从所述高频电源输出的高频电力的脉冲的最小上升时间更长。
7.根据权利要求1至6中任一项所述的等离子体处理装置,其中,
所述高频电源具有:
发电机,其构成为产生所述高频电力;及
输出部,其构成为输出通过所述发电机产生的所述高频电力。
8.根据权利要求7所述的等离子体处理装置,其中,
所述发电机构成为产生高频电力,该高频电力包含分别具有以指定频率的间隔相对于基本频率对称设定的多个频率的多个电力成分,其包络线具有以所述指定频率或以该指定频率的2倍以上倍数的频率且按规定的时间间隔周期性出现的峰值,其功率级设定成在除了所述峰值的每一个出现时刻紧前的所述包络线的零交叉区域与该出现时刻紧后的所述包络线的零交叉区域之间的期间以外的期间为零。
9.根据权利要求7或8所述的等离子体处理装置,其中,
所述发电机构成为具有:
波形数据生成部;
量化部,其构成为对通过所述波形数据生成部生成的波形数据进行量化来生成量化数据;
傅里叶逆变换部,其构成为对所述量化数据适用傅里叶逆变换来生成I数据及Q数据;及
调制部,其构成为利用所述I数据及所述Q数据调制相位彼此相差90°的两个基准高频信号来生成调制高频信号,
并且根据所述调制高频信号生成所述高频电力。
10.根据权利要求9所述的高频电源,其中,
所述发电机还具有放大器,该放大器构成为将所述调制高频信号放大来生成所述高频电力。
11.根据权利要求1至6中任一项所述的等离子体处理装置,其中,
所述高频电源构成为能够调整所述一个以上的脉冲中的每一个的上升时间。
12.根据权利要求1至11中任一项所述的等离子体处理装置,其中,
所述高频电源构成为在所述第1期间,作为所述一个以上的脉冲依次供应多个脉冲。
CN202310809321.5A 2019-02-05 2020-01-21 等离子体处理装置 Pending CN116844934A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2019018833 2019-02-05
JP2019-018833 2019-08-26
JP2019-236679 2019-12-26
JP2019236679A JP6797273B2 (ja) 2019-02-05 2019-12-26 プラズマ処理装置
CN202010070561.4A CN111524782B (zh) 2019-02-05 2020-01-21 等离子体处理装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN202010070561.4A Division CN111524782B (zh) 2019-02-05 2020-01-21 等离子体处理装置

Publications (1)

Publication Number Publication Date
CN116844934A true CN116844934A (zh) 2023-10-03

Family

ID=71408348

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010070561.4A Active CN111524782B (zh) 2019-02-05 2020-01-21 等离子体处理装置
CN202310809321.5A Pending CN116844934A (zh) 2019-02-05 2020-01-21 等离子体处理装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202010070561.4A Active CN111524782B (zh) 2019-02-05 2020-01-21 等离子体处理装置

Country Status (3)

Country Link
US (3) US10707054B1 (zh)
KR (2) KR102280293B1 (zh)
CN (2) CN111524782B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7122268B2 (ja) * 2019-02-05 2022-08-19 東京エレクトロン株式会社 プラズマ処理装置
US11545341B2 (en) * 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20230129050A (ko) * 2021-02-04 2023-09-05 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2920188B1 (ja) * 1998-06-26 1999-07-19 日新電機株式会社 パルスバイアス水素負イオン注入方法及び注入装置
JP4230029B2 (ja) 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
JP2001358129A (ja) 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6875700B2 (en) 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
JP4538209B2 (ja) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
KR101001743B1 (ko) * 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
JP4884047B2 (ja) 2006-03-23 2012-02-22 東京エレクトロン株式会社 プラズマ処理方法
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP4607930B2 (ja) * 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP5227264B2 (ja) 2009-06-02 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置,プラズマ処理方法,プログラム
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US7993937B2 (en) 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US8563428B2 (en) * 2010-09-17 2013-10-22 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
KR20150128965A (ko) * 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
KR20160022458A (ko) * 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6309398B2 (ja) 2014-08-29 2018-04-11 株式会社ダイヘン 高周波電源
KR101677748B1 (ko) * 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6539113B2 (ja) 2015-05-28 2019-07-03 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10373811B2 (en) * 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US10395895B2 (en) * 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US10008366B2 (en) * 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
JP6479698B2 (ja) * 2016-02-18 2019-03-06 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
JP6817889B2 (ja) 2016-05-10 2021-01-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6770868B2 (ja) * 2016-10-26 2020-10-21 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
JP6697372B2 (ja) * 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10927449B2 (en) 2017-01-25 2021-02-23 Applied Materials, Inc. Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US10957521B2 (en) 2018-05-29 2021-03-23 Lam Research Corporation Image based plasma sheath profile detection on plasma processing tools

Also Published As

Publication number Publication date
KR102580453B1 (ko) 2023-09-20
CN111524782A (zh) 2020-08-11
KR20210093199A (ko) 2021-07-27
US20200294770A1 (en) 2020-09-17
US10707054B1 (en) 2020-07-07
KR20200096735A (ko) 2020-08-13
US11205561B2 (en) 2021-12-21
US20220068604A1 (en) 2022-03-03
CN111524782B (zh) 2023-07-25
US11631572B2 (en) 2023-04-18
KR102280293B1 (ko) 2021-07-22

Similar Documents

Publication Publication Date Title
CN111524782B (zh) 等离子体处理装置
CN111524781B (zh) 高频电源及等离子体处理装置
CN109411322B (zh) 等离子体处理方法和等离子体处理装置
JP7395645B2 (ja) プラズマ処理装置
TW202305935A (zh) 電漿處理裝置、處理器、控制方法、非暫時性電腦可讀記錄媒體及程式
KR20210021441A (ko) 플라즈마 처리 장치 및 플라즈마를 생성하는 방법
TW426888B (en) Plasma processing method
JP7374362B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP6817889B2 (ja) プラズマ処理装置及びプラズマ処理方法
CN108471666B (zh) 一种等离子体产生方法及装置和半导体处理设备
JP2016027667A (ja) プラズマ処理装置
CN112687511A (zh) 等离子体处理装置及等离子体处理方法
US12033832B2 (en) Plasma processing method and plasma processing apparatus
US20220139672A1 (en) Plasma processing apparatus and plasma processing method
CN117597767A (zh) 等离子体处理方法以及等离子体处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination