KR20120102155A - 산화물 라이너를 이용하는 유동성 유전체 - Google Patents

산화물 라이너를 이용하는 유동성 유전체 Download PDF

Info

Publication number
KR20120102155A
KR20120102155A KR1020127020616A KR20127020616A KR20120102155A KR 20120102155 A KR20120102155 A KR 20120102155A KR 1020127020616 A KR1020127020616 A KR 1020127020616A KR 20127020616 A KR20127020616 A KR 20127020616A KR 20120102155 A KR20120102155 A KR 20120102155A
Authority
KR
South Korea
Prior art keywords
nitrogen
silicon
substrate
layer
precursor
Prior art date
Application number
KR1020127020616A
Other languages
English (en)
Other versions
KR101528832B1 (ko
Inventor
징메이 리앙
니틴 케이. 잉글
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120102155A publication Critical patent/KR20120102155A/ko
Application granted granted Critical
Publication of KR101528832B1 publication Critical patent/KR101528832B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

실리콘 산화물 층들의 형성 방법들이 설명된다. 방법들은 무-탄소 실리콘-함유 전구체를 라디칼-질소 전구체와 혼합하는 단계; 및 실리콘-및-질소-함유 층을 기판 상에 증착하는 단계를 포함한다. 라디칼-질소 전구체는 수소-및-질소-함유 전구체를 플라즈마 내로 유동시킴으로써 플라즈마 내에 형성된다. 실리콘-및-질소 함유 층을 증착하기에 앞서서, 실리콘-및-질소-함유 층의 접착력, 평활도 및 유동성을 향상시키기 위해서, 실리콘 산화물 라이너 층이 형성된다. 실리콘-및-질소-함유 층이 필름의 경화 및 어닐링에 의해서 실리콘-및-산소-함유 층으로 변환될 수 있다. 방법들은 또한 스핀-온 실리콘-함유 물질을 도포하기 전에 실리콘 산화물 라이너 층을 형성하는 단계를 포함한다.

Description

산화물 라이너를 이용하는 유동성 유전체{FLOWABLE DIELECTRIC USING OXIDE LINER}
관련 출원의 상호 참조
본원은 "산화물 라이너를 이용하는 유동성 유전체"란 명칭으로 2010년 12월 21일자로 출원된 미국 특허 출원 제12/974,495호의 PCT 출원이며, "산화물 라이너를 이용하는 라디칼 성분 유전체"란 명칭으로 2010년 1월 6일자로 출원된 미국 가특허 출원 제61/292,520호의 이익을 청구하고, 이들의 전체적인 개시 내용은 모든 목적들을 위해 참조로서 본 명세서에 통합된다.
반도체 소자의 기하학적 구조들은 수십 년 전 그들이 도입된 이래 크기가 현저히 감소되었다. 현대의 반도체 제조 설비는 일상적으로 45㎚, 32㎚ 및 28㎚의 피쳐 크기들(feature sizes)을 가진 소자들을 생산하며, 심지어 더 작은 기하학적 구조들을 가진 소자들을 제조하기 위해 새로운 설비가 개발 및 실행되고 있다. 감소하는 피쳐 크기들은 공간 치수들이 감소된 소자 상에서의 구조적 피쳐들(structural features)을 초래한다. 상기 소자에서 갭들 및 트렌치들의 폭들은, 갭 깊이 대 갭 폭의 종횡비가 유전체 재료로 갭을 충진하기 어렵게 만들 정도로 충분히 커지는 수준(point)까지 좁아진다. 증착되는 유전체 재료는 갭이 완전히 충진되기 전에 상단에서 막혀 갭의 중간에 공극(void)이나 시임(seam)을 형성하는 경향이 있다.
수년간, 유전체 재료가 갭의 상단을 막는 것을 방지하거나, 형성된 공극이나 시임을 "메우기(heal)"하기 위한 많은 기법들이 개발되었다. 회전하는 기판 표면에 대해 액상으로 도포될 수 있는 고 유동성의 전구체 재료들을 이용한 하나의 접근법(예컨대, SOG 증착 기법들)이 시작되었다. 이러한 유동성 전구체들은 공극들 또는 약한 시임들을 형성하지 않고 매우 작은 기판 갭들 안에 유입되어 충진할 수 있다. 그러나 이러한 고 유동성의 재료들이 일단 증착되면, 이들은 고체형 유전체 재료로 강화되어야 한다.
많은 경우들에서, 강화 프로세스는 증착된 재료로부터 탄소 및 수산기 족(group)들을 제거하기 위한 열처리를 포함하여, 실리콘 산화물과 같은 고체 유전체를 남긴다. 불행하게도, 상기 출발 탄소 및 수산기 종들(species)은 종종 최종 재료의 품질을 저하시키는 기공들(pores)을 경화된 유전체에 남긴다. 게다가, 경화된 유전체는 또한 부피가 수축하는 경향이 있고, 이는 유전체와 주변 기판의 인터페이스에 균열들 및 공간들을 남길 수 있다. 일부 예들에서, 경화된 유전체의 부피는 40% 또는 그 초과만큼 감소할 수 있다.
따라서, 기판 갭들 및 트렌치들에 공극들, 시임들, 또는 이들 모두를 발생시키지 않고, 구조화된 기판들 상에 유전체 재료들을 형성하기 위한 새로운 증착 프로세스들 및 재료들에 대한 필요성이 존재한다. 또한, 기공들이 더 적고, 부피 감소가 더 적은 유동성 유전체 재료들의 경화 방법들 및 재료들에 대한 필요성이 존재한다. 이러한 그리고 다른 요구들이 본 출원에서 다루어진다.
실리콘 산화물 층들의 형성 방법이 설명된다. 상기 방법은 무-탄소(carbon-free) 실리콘-함유 전구체를 라디칼-질소 전구체와 혼합하는 단계; 및 실리콘-및-질소-함유 층을 기판 상에 증착하는 단계를 순차적으로 포함한다. 라디칼-질소 전구체는 수소-및-질소-함유 전구체를 플라즈마 내로 유동시킴으로써 플라즈마 내에 형성된다. 실리콘-및-질소 함유 층을 증착하기 이전에, 실리콘-및-질소-함유 층의 접착력, 평활도(smoothness) 및 유동성을 개선하기 위해서, 실리콘 산화물 라이너 층이 형성된다. 실리콘-및-질소-함유 층이 필름의 경화(curing) 및 어닐링에 의해서 실리콘-및-산소-함유 층으로 변환될 수 있다. 방법들은 또한 스핀-온(spin-on) 실리콘-함유 물질을 도포하기(apply) 전에 실리콘 산화물 라이너 층을 형성하는 단계를 포함한다.
본 발명의 실시예들은 기판 상에 유동성 유전체 층을 형성하는 방법들을 포함한다. 그러한 방법들은 순차적으로 (1) 기판이 라이너 증착 온도에서 유지되면서 기판을 실리콘-함유 라이너 전구체 및 산소-함유 라이너 전구체에 노출시킴으로써, 일반적으로(generally) 컨포멀한(conformal) 실리콘 산화물 라이너 층을 기판 상에 형성하는 단계, 및 (2) 기판이 벌크(bulk) 증착 온도에서 유지되면서 무-탄소 유동성 실리콘-질소-및-수소-함유 층을 기판 상에 형성하는 단계를 포함한다.
추가적인 실시예들 및 특징들은 뒤따르는 상세한 설명에 부분적으로 진술되며, 부분적으로 본 명세서의 검토 시 당업자들에게 명백해질 것이고, 또는 본 발명을 실시함으로써 습득될 수 있다. 본 발명의 특징들 및 장점들은 본 명세서에 설명된 수단들(instrumentalities), 조합들 및 방법들에 의해 실현되거나 획득될 수 있을 것이다.
도면들 및 명세서의 나머지 부분들을 참조하여 본 발명의 본질과 장점들에 대한 추가적 이해가 실현될 수 있으며, 여기서 몇몇 도면들에 걸쳐 유사한 컴포넌트들을 지칭하기 위해 유사한 참조번호들이 사용된다. 몇몇 예들에서, 서브라벨(sublabel)이 참조번호와 연관되고, 복수의 유사한 컴포넌트들 중 하나를 표시하기 위해 하이픈에 뒤따른다. 기존 서브라벨에 대한 특정이 없이 참조번호에 대한 참조가 이루어질 때, 모든 그러한 복수의 유사한 컴포넌트들을 지칭하도록 의도된다.
도 1은 본 발명의 실시예들에 따른 실리콘 산화물 필름을 제조하기 위해 선택된 단계들을 예증하는 흐름도이다.
도 2는 본 발명의 실시예들에 따른 기판 갭에 실리콘 산화물 필름을 형성하기 위해 선택된 단계들을 예증하는 다른 흐름도이다.
도 3은 본 발명의 실시예들에 따른 기판 프로세싱 시스템을 도시한다.
도 4a는 본 발명의 실시예들에 따른 기판 프로세싱 챔버를 도시한다.
도 4b는 본 발명의 실시예들에 따른 기판 프로세싱 챔버의 샤워헤드를 도시한다.
실리콘 산화물 층들의 형성 방법들이 설명된다. 상기 방법들은 무-탄소 실리콘-함유 전구체를 라디칼-질소 전구체와 혼합하는 단계; 및 실리콘-및-질소-함유 층을 기판 상에 증착하는 단계를 포함한다. 라디칼-질소 전구체는 수소-및-질소-함유 전구체를 플라즈마 내로 유동시킴으로써 플라즈마 내에 형성된다. 실리콘-및-질소 함유 층을 증착하기 이전에서, 실리콘-및-질소-함유 층의 접착력, 평활도 및 유동성을 향상시키기 위해서, 실리콘 산화물 라이너 층이 형성된다. 실리콘-및-질소-함유 층이 필름의 경화 및 어닐링에 의해서 실리콘-및-산소-함유 층으로 변환될 수 있다. 방법들은 또한 스핀-온 실리콘-함유 물질을 도포하기 전에 실리콘 산화물 라이너 층을 형성하는 단계를 포함한다.
기판과 실리콘-및-질소-함유 층 사이에 산화물 라이너 층을 도입하는 것은 접착력을 향상시키고, 후속 프로세싱 동안에 및 그 후에 박리(delamination) 및 균열(cracking) 발생들을 감소시키는 것으로 보인다. 실리콘 산화물 라이너를 이용하는 개시된 실시예들에 따라 형성된 실리콘 산화물 필름들은 증착 역학(dynamics)의 변형을 표시하는 더욱 평활한 외측 표면을 또한 나타내었다. 전체적으로 정확할 수 있거나 또는 정확하지 않을 수 있는 이론적 메커니즘들에 대한 청구항들의 커버리지를 구속시키지 않으면서, 실라놀 그룹들이 실리콘 산화물 라이너 층의 노출된 표면 상에 존재할 수 있고, 이동성을 증가시키는 역할을 할 수 있어, 그에 따라 실리콘-및-질소-함유 층의 초기(nascent) 유동성을 증가시킨다. 실리콘 산화물 층을 포함하는 것의 다른 장점들은 일부 애플리케이션들에서 기초(underlying) 물질인 실리콘 질화물 상에서의 더욱 신속한 초기 성장 레이트를 포함한다. 다른 장점들은 또한 기초 기판에 대한 중첩되는 층의 수축 및 팽창을 수용하는 것을 포함한다. 복수 층의 증착 후에, 실리콘-및-질소-함유 층이 산소-함유 분위기에서 경화 및/또는 어닐링되어, 층을 실리콘 산화물로 변환할 수 있다.
실리콘 산화물 층을 형성하기 위한 시스템 및 방법에 대한 추가적인 세부사항에 대해 이하에서 설명할 것이다.
예시적 실리콘 산화물 형성 프로세스
도 1은 본 발명의 실시예들에 따른 실리콘 산화물 필름들의 제조 방법들(100)에서 선택된 단계들을 나타낸 흐름도이다. 방법(100)은 동작(101)에서 TEOS 및 오존(O3)의 동시적인 유동들을 이용하여 기판 상에 실리콘 산화물 라이너를 증착하는 단계를 포함한다. 본 명세서에서 설명된 다른 라이너 증착들에서와 같이, 증착 동안의 기판 온도는 본원 발명의 실시예들에서 400℃ 초과, 500℃ 초과, 600℃ 초과이다. 보다 더 큰 유동성 및 평활한 증착을 보장하기 위해서 물(H2O, 증기), HMDS 및 TMDSO와 같은 첨가제들이 TEOS 및 오존(O3)에 첨가될 수 있다. 후속하는 라디칼-컴포넌트 증착에 대비하여 기판의 상대적으로 높은 온도는 실리콘 질화물과 같은 비활성 표면들 상에서의 증착을 돕는다. 이어서, 라이너는 비교적 저온의 증착에 대해서 덜 비활성이고 그리고 더 전도성인(conductive) 실리콘 산화물 표면을 제시한다. 그러한 증착 프로세스들은 당업계에서 감압(sub-atmospheric) CVD(SACVD)로서 공지되나, 1 atm을 초과하는 압력에서도 또한 실시될 수 있을 것이다. TEOS의 예시적인 유량들은 상이한 실시예들에서 0.1 gm/min(분당 그램) 초과, 0.5 gm/min 초과, 1 gm/min 초과 및 3 gm/min 초과일 수 있다. 오존은 상이한 실시예들에서 1,000 sccm 초과, 3,000 sccm 초과, 10,000 sccm 초과 또는 30,000 sccm 초과로 유동될 수 있다. 비교적 비활성인 캐리어 가스들이 TEOS 및 선택적인 첨가제들을 기판으로 전달하는데 사용될 수 있고 캐리어 가스의 질량들은 전술한 gm/min 전달 레이트들에 포함되지 않는다.
방법은 계속되고 기판 프로세싱 영역에 무-탄소 실리콘-함유 전구체를 제공하는 단계(동작(102))를 포함한다. 상기 무-탄소 실리콘-함유 전구체는, 다른 부류(classes)의 실리콘 전구체들 중, 예컨대, 실리콘-및-질소 전구체, 실리콘-및-수소 전구체, 또는 실리콘-질소-및-수소-함유 전구체일 수 있다. 실리콘-전구체는 무-탄소일 뿐만 아니라 무-산소(oxygen-free)일 수 있다. 산소의 결핍은 전구체들로부터 형성된 실리콘-및-질소층에서 실라놀(Si-OH) 족들의 더 낮은 농도를 초래한다. 증착된 필름에서 과다한 실라놀 부분들(moieties)은 증착된 층으로부터 하이드록실(-OH) 부분들을 제거하는 증착후 단계들에서 증가된 공극율 및 수축율을 유발할 수 있다.
무-탄소 실리콘-함유 전구체들 중 특정 예들은, 다른 시릴-아민들 중 H2N(SiH3), HN(SiH3)2 및 N(SiH3)3와 같은 시릴-아민들을 포함할 수 있다. 시릴-아민의 유량들은 상이한 실시예들에서 약 200sccm 또는 그 초과, 약 300sccm 또는 그 초과, 또는 약 500sccm 또는 그 초과일 수 있다. 본 명세서에서 주어진 모든 유량들은 이중 챔버 기판 프로세싱 시스템을 지칭한다. 단일 웨이퍼 시스템들은 이들 유량들의 절반을 필요로 할 것이며, 다른 웨이퍼 크기들은 프로세싱되는 영역에 의해 스케일링되는(scaled by) 유량들을 필요로 할 것이다. 이들 시릴-아민들은 캐리어 가스들, 반응성 가스들 또는 이들 모두로서 작용할 수 있는 추가적인 가스들과 혼합될 수 있다. 이들 추가적인 가스들의 예들은, 다른 가스들 중, H2, N2, NH3, He 및 Ar을 포함할 수 있다. 또한, 무-탄소 실리콘-함유 전구체들의 예들은 실란(SiH4) 단독, 또는 다른 실리콘-함유 가스(예컨대, N(SiH3)3), 수소 함유 가스(예컨대, H2), 및/또는 질소 함유 가스(예컨대, N2, NH3)와 혼합된 실란을 포함할 수도 있다. 또한, 무-탄소 실리콘-함유 전구체들은 단독의 또는 서로 조합된 디실란, 트리실란, 보다 고차의(higher-order) 실란들, 및 염소화된 실란들, 또는 전술한 무-탄소 실리콘-함유 전구체들을 포함할 수 있다. 무-탄소 실리콘-함유 전구체는 무-플라즈마 기판 프로세싱 영역으로 진입하기 전에 플라즈마 영역(예를 들어, 원격 플라즈마 영역) 내에서 여기되지 않는다.
암모니아(NH3)가 플라즈마 영역으로 전달되어 라디칼-질소 전구체를 형성한다(동작(104)). 라디칼-질소 전구체는 암모니아로부터 기판 프로세싱 영역 외부의 플라즈마 영역에서 발생된 질소-라디칼-함유 전구체이다. 예를 들어, NH3를 함유한 안정된 질소 전구체 화합물이 프로세싱 챔버 외부의 원격 플라즈마 시스템(RPS) 또는 챔버 플라즈마 영역에서 활성화되어, 라디칼-질소 전구체를 형성할 수 있으며, 그 후, 라디칼-질소 전구체는 기판 프로세싱 영역으로 운반된다(동작(106)). 암모니아의 유량은 상이한 실시예들에서 약 300sccm 또는 그 초과, 약 500sccm 또는 그 초과, 또는 약 700sccm 또는 그 초과일 수 있는 한편, 질소(N2) 및 수소(H2)와 같은 부가적인 전구체들이 질소:수소 원자 유동 비율을 조정하기 위해서 포함될 수 있다. 또한, 라디칼-질소 전구체가 NH3의 이용 없이 생성될 수 있다. 본원 발명의 실시예들에서, 원격 플라즈마 영역 내로 유동된 안정한 질소 전구체들은 H2, N2 및 N2H4 중 하나 또는 그 초과를 포함할 수 있다. 챔버 플라즈마 영역에서 생성된 라디칼-질소 전구체는 ·N, ·NH, ·NH2 등 중 하나 또는 그 초과일 수 있으며, 또한 플라즈마에서 형성된 이온화된 종들을 수반할 수도 있다.
챔버 플라즈마 영역을 채용한 실시예들에서, 라디칼-질소 전구체는 증착 기판(예컨대, 반도체 웨이퍼) 상에 실리콘-및-질소층을 증착하기 위해 전구체들이 혼합되고 반응하는 기판 프로세싱 영역으로부터 구획된(partitioned) 기판 프로세싱 시스템의 섹션에서 발생된다. 또한, 라디칼-질소 전구체는 헬륨, 아르곤 등과 같은 캐리어 가스를 수반할 수 있다. 기판 프로세싱 영역은, 실리콘-및-질소-함유 층의 성장 동안, 그리고 저온 오존 경화 동안, 본 명세서에서 "무-플라즈마"로서 설명될 수 있다. "무-플라즈마"는 본질적으로 영역에 플라즈마가 없다는 것을 의미하지는 않는다. 플라즈마 영역 내에서 생성된 이온화된 종들은 파티션(partition)(샤워헤드) 내의 기공들(개구들)을 통해서 이동하지만, 무-탄소 실리콘-함유 전구체는 플라즈마 영역으로 인가된 플라즈마 전력에 의해서 실질적으로 여기되지 않는다. 챔버 플라즈마 영역의 플라즈마 경계들은 규정하기 어렵고, 샤워헤드의 개구를 통해 기판 프로세싱 영역으로 침범할 수 있다. 유도적으로 커플링된 플라즈마의 경우, 소량의 이온화가 기판 프로세싱 영역 내에서 직접적으로 이루어질 수 있다. 또한, 형성되는 필름의 유동성 특성을 제거하지 않고, 기판 프로세싱 영역에서 낮은 세기(intensity)의 플라즈마가 생성될 수 있다. 라디칼 질소 전구체의 생성 동안 챔버 플라즈마 영역보다 훨씬 더 낮은 이온 밀도를 가진 기판 프로세싱 영역 내의 플라즈마들은 본 명세서에 사용되는 바와 같이 "무-플라즈마"의 범위를 벗어나지 않는다.
기판 프로세싱 영역에서, 무-탄소 실리콘-함유 전구체와 라디칼-질소 전구체는 혼합되고 반응하여 증착 기판 상에 실리콘-및-질소-함유 필름을 형성한다(동작(108)). 증착되는 실리콘-및-질소-함유 필름은 레시피 조합들에 따라 컨포멀하게(conformally) 증착될 수 있으며, 이는 증착 표면에서 높은 라디칼 질소 플럭스들 또는 낮은 증착 레이트들을 초래한다. 다른 실시예들에서, 증착되는 실리콘-및-질소-함유 필름은 통상의 실리콘 질화물(Si3N4) 필름 증착 기법들과 다른 유동성 특성들을 갖는다. 포메이션(formation)의 유동성 특성은 필름이 기판의 증착 표면 상의 좁은 갭들, 트렌치들 및 다른 구조물로 흘러들어갈 수 있도록 허용한다. 다른 실시예들에서, 증착 동안(동작(108)) 동안의 기판 온도는 120℃ 미만, 100℃ 미만, 80℃ 미만, 그리고 60℃ 미만이다.
유동성은 라디칼-질소 전구체들의 여기되지 않은 무-탄소 실리콘-함유 전구체와 혼합하는 것에 기인한 다양한 특성들로 인한 것일 수 있다. 이들 특성들은 증착된 필름에서 상당한 수소 컴포넌트 및/또는 단쇄형(short chained) 폴리실라잔 폴리머들의 존재를 포함할 수 있다. 이들 단쇄들이 성장하여 네트워킹되어(network), 필름 형성 동안 및 그 후에 더 치밀한 유전체 재료를 형성한다. 예를 들면, 증착된 필름은 실라잔-타입의 Si-NH-Si 백본(backbone)(즉, Si-N-H 필름)을 가질 수 있다. 실리콘-함유 전구체와 라디칼-질소 전구체가 모두 무-탄소인 경우, 증착되는 실리콘-및-질소-함유 필름도 또한 실질적으로 무-탄소이다. 물론, "무-탄소"는 반드시 필름이 극소량의 탄소도 갖고 있지 않다는 것을 의미하지는 않는다. 탄소 오염물들은 증착된 실리콘-및-질소 전구체로의 그들의 진로를 발견하는 전구체 재료들에 존재할 수 있다. 그러나 예를 들어, 동작(101)에서 성장된 라이너 층에서, 이들 탄소 불순물들의 양은 탄소 부분(예컨대, TEOS, TMDSO, 등)을 가진 실리콘-함유 전구체에서 발견되는 것보다 훨씬 더 적다.
실리콘-및-질소-함유 층의 증착에 이어서, 증착 기판은 산소-함유 분위기(atmosphere)(들)에서 경화 및/또는 어닐링될 수 있다(동작(110)). 경화는 약 400℃ 또는 그 미만의 기판 온도에서 오존-함유 분위기에서 실시될 수 있다. 일부 조건들(예컨대, 약 100℃ 내지 약 200℃의 기판 온도들 사이)하에서, 변환이 실질적으로 완료되는 것으로 밝혀졌으며, 이에 따라, 본원 발명의 실시예들에서 산소-함유 분위기에서 상대적으로 고온의 어닐링이 필요하지 않을 수 있다. 실리콘-및-질소 함유 층의 경화에 이어서, 기판을 산소 함유 분위기에서 어닐링하여 필름을 실리콘 산화물로 추가로 변환시키는 것이 바람직할 수 있다. 산소-함유 분위기는, 다른 산소 함유 가스들 중에서도, 분자 산소(O2), 오존(O3), 수증기(H2O), 과산화수소(H2O2) 및 질소 산화물들(NO, NO2 등)과 같은 하나 또는 그 초과의 산소-함유 가스를 포함할 수 있다. 산소-함유 분위기는 원격지에서 발생되어 기판 챔버로 전달될 수 있는, 산소 원자(O), 수산화물(OH) 등과 같은 라디칼 산소 및 하이드록실 종을 또한 포함할 수 있다. 또한, 산소 함유 종의 이온들이 존재할 수 있다. 기판의 산소 어닐링 온도는 약 500℃ 내지 약 1100℃ 일 수 있다. 플라즈마가 사용되는 경우에, 기판 프로세싱 영역 내에, 샤워헤드에 의해서 분리된 분리 영역 내에, 또는 원격 플라즈마 시스템(RPS) 내에 플라즈마가 있을 수 있다.
경화 및 산소 어닐링 모두의 산소-함유 분위기들은 실리콘-및-질소-함유 필름을 실리콘 산화물(SiO2) 필름으로 변환시키기 위해 산소를 제공한다. 전술한 바와 같이, 실리콘-및-질소-함유 필름에서의 탄소의 결핍은 최종 실리콘 산화물 필름에 현저히 더 적은 기공들이 형성되는 결과를 초래한다. 또한, 실리콘 산화물로의 변환 동안 필름의 부피 감소(즉, 수축)를 적게 하는 결과를 초래한다. 예를 들어, 탄소-함유 실리콘 전구체들 및 라디칼-질소로부터 형성되는 실리콘-질소-탄소 층은 실리콘 산화물로 변환될 때 40부피% 또는 그 초과만큼 수축할 수 있고, 실질적인 무-탄소 실리콘-및-질소 필름들은 약 17부피% 또는 그 미만만큼 수축할 수 있다.
이제, 도 2를 참조하면, 본 발명의 실시예들에 따라 기판 갭에 실리콘 산화물 필름을 형성하기 위한 방법들(200)에서 선택된 단계들을 예증하는 다른 흐름도가 도시된다. 상기 방법(200)은 기판 상에 형성된 소자 컴포넌트들(예를 들어, 트랜지스터들)의 구조 및 간격의 갭들을 가지는 패터닝된 기판 상에 실리콘 산화물 라이너를 증착하는 단계를 포함한다. 갭들은 1:1 보다 훨씬 더 큰 높이 대 폭(즉, H/W)의 종횡비(AR)(예컨대, 5:1 또는 그 초과, 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 12:1 또는 그 초과, 등)를 규정하는 높이 및 폭을 가질 수 있다. 다수의 경우들에서, 높은 AR은 약 90㎚ 내지 약 22㎚의 그 범위의 또는 그 미만의 작은 갭 폭들(예컨대, 90㎚, 65㎚, 45㎚, 32㎚, 22㎚, 16㎚ 등)에 기인한다.
실리콘 산화물 라이너는 TEOS 및 산소(O2)의 동시적인 유동들로 증착된다(동작(201)). 기판 온도들은 도 1을 참조하여 설명된 실시예들과 동일할 수 있고, 동일한 첨가물들이 동일한 목적들로 첨가될 수 있다. 상대적으로 높은 증착 온도는, 라이너 층 없이 라디칼-컴포넌트 증착이 시도된 경우보다 증착이 더 신속하게 진행되도록 허용한다. 라이너는 상대적으로 저온의 증착에 대해서 덜 비활성이고 더 전도성인(conductive) 실리콘 산화물 표면을 제공한다. 그러한 증착 프로세스들은 당업계에서 감압 CVD(SACVD)로서 공지되어 있으나, 또한 1 atm 초과의 압력들에서 실시될 수도 있다. 여러 실시예들에서, TEOS의 예시적인 유량들은 0.1 gm/min(분당 그램) 초과, 0.5 gm/min 초과, 1 gm/min 초과 및 3 gm/min 초과일 수 있다. 산소는 상이한 실시예들에서 3,000 sccm 초과, 10,000 sccm 초과, 30,000 sccm 초과 또는 60,000 sccm 초과로 유동될 수 있다. 상대적으로 비활성인 캐리어 가스들은 TEOS 및 선택적인 첨가제들을 기판으로 전달하는데 사용될 수 있고 캐리어 가스의 질량들은 상기 주어진 gm/min 전달 레이트들에 포함되지 않는다.
그 후, 기판은 기판 프로세싱 영역으로 이송되고(동작(202)), 암모니아(NH3)가 분리된 챔버 플라즈마 영역 내에서 여기되어, 라디칼-질소 전구체를 형성한다(동작(204)). 챔버 플라즈마 영역 내의 플라즈마는 라디칼-질소 전구체를 생성하고, 그러한 라디칼-질소 전구체는 기판 프로세싱 영역으로부터 챔버 플라즈마 영역을 분리하는 샤워헤드 내의 개구들을 통해서 유동된다. 무-탄소 실리콘-함유 전구체가 기판 프로세싱 영역 내에서 라디칼-질소 전구체와 혼합된다(동작(206)). 유동성 실리콘-및-질소-함유 층이 기판 상에 증착된다(동작(208)). 층이 유동성이기 때문에, 그 층은 충진 물질의 중심 주위에 공극들 또는 약한 시임들을 생성하지 않고 고 종횡비들을 갖는 갭들을 충진할 수 있다. 예를 들어, 유동성 물질의 증착은, 유동성 물질이 완전히 충진되기 전에 갭의 상단부를 너무 빨리 막아서 갭의 중간에 공극을 남기게 될 가능성이 적다. 실시예들에서, 기판 온도는 도 1을 참조하여 논의된 온도들 미만이다.
그 후, 실리콘-및-질소-함유 층을 실리콘 산화물로 전이시키기 위해서, 증착된 그대로의(as-deposited) 실리콘-및-질소-함유 층은 오존-함유 분위기에서 경화될 수 있고 및/또는 산소-함유 분위기에서 어닐링될 수 있다(동작(210)). 상기 실리콘 산화물 층을 치밀화하기(densify) 위해 더 높은 기판 온도의 비활성 분위기에서 추가적인 어닐링(미도시)이 실행될 수 있다. 산소-함유 분위기에서의 증착된 그대로의 실리콘-및-질소-함유 층의 경화 및 어닐링은 기판 갭을 포함하는, 기판 상의 실리콘 산화물 층을 형성한다. 실시예들에서, 동작(210)의 프로세싱 파라미터들은 도 1과 관련하여 설명한 것과 동일한 범위들을 가진다. 전술한 바와 같이, 실리콘 산화물 층은, 열처리 단계 이전에 층에 상당량의 탄소가 존재하는, 탄-함유 전구체들로 형성된 유사한 층들보다 기공들이 적고 부피 감소가 적다. 많은 경우들에서, 부피 감소는 수축하는 실리콘 산화물의 결과로서, 갭에 형성하는 공간을 충진, 메움(heal) 또는 다른 방식으로 제거하기 위한 사후 열처리(post heat treatment) 단계들을 피할 수 있을 정도로 충분히 경미하다(예컨대, 약 15 부피% 또는 그 미만).
예시적 실리콘 산화물 증착 시스템
본 발명의 실시예들을 실행할 수 있는 증착 챔버들은, 다른 유형들의 챔버들 중에서, 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 챔버들, 플라즈마 강화 화학 기상 증착(PECVD) 챔버들, 감압 화학 기상 증착(SACVD) 챔버들, 및 열 화학 기상 증착 챔버들을 포함할 수 있다. 본 발명의 실시예들을 실행할 수 있는 CVD 시스템들의 특수한 예들은, 캘리포니아, 산타 클라라에 소재한 어플라이드 머티어리얼스사로부터 입수할 수 있는, CENTURA ULTIMA?HDP-CVD 챔버들/시스템들과 PRODUCER?RECVD 챔버들/시스템들을 포함한다.
본 발명의 예시적 방법들과 함께 사용될 수 있는 기판 프로세싱 챔버들의 예들은 본 출원인에게 양도되고 "유전체 갭충진을 위한 프로세스 챔버"란 명칭으로 2006년 5월 30일자로 루보미르스키 등에 의해 출원된 미국 가특허 출원 번호 제60/803,499호에 도시되고 설명된 것들을 포함할 수 있고, 이의 전체 내용들은 모든 목적들을 위해 참조로서 본 명세서에 통합된다. 추가적인 예시적 시스템들은 미국 특허 제6,387,207호 및 제6,830,624호에 도시되고 설명된 것들을 포함할 수 있고, 이들은 또한 모든 목적들을 위해 참조로서 본 명세서에 통합된다.
증착 시스템들의 실시예들은 집적 회로 칩들을 생산하기 위한 대형 제조 시스템들에 통합될 수 있다. 도 3은 개시된 실시예들에 따른 증착, 베이킹(baking) 및 경화 챔버들의 그러한 하나의 시스템(300)을 도시한다. 도면에서, 한 쌍의 FOUP들(전면 개구 통합형 포드(pod)들)(302)이 기판들(예컨대, 300㎜ 직경의 웨이퍼들)을 공급하며, 이 기판들은 로봇 암(304)에 의해 수신되고, 웨이퍼 프로세싱 챔버들(308a-f)들 중 하나에 위치되기 전에 저압 유지 영역(306)에 위치된다. 유지 영역(306)으로부터 프로세싱 챔버들(308a-f)로 그리고 그 반대로 기판 웨이퍼들을 운반하기 위해 제 2 로봇 암(310)이 사용될 수 있다.
프로세싱 챔버들(308a-f)은 기판 웨이퍼 상에 유동성 유전체 필름을 증착, 어닐링, 경화 및/또는 에칭하기 위한 하나 또는 그 초과의 시스템 컴포넌트들을 포함할 수 있다. 하나의 구성에 있어서, 유동성 유전체 재료를 기판 상에 증착하기 위해 2쌍의 프로세싱 챔버(예컨대, 308c-d, 및 308e-f)가 사용될 수 있으며, 증착된 유전체를 어닐링하기 위해 제 3 쌍의 프로세싱 챔버들(예컨대, 308a-b)이 사용될 수 있다. 다른 구성에 있어서, 동일한 2쌍의 프로세싱 챔버들(예컨대, 308c-d, 및 308e-f)이 기판 상에서의 유동성 유전체 필름의 증착과 어닐링 모두를 위해 구성될 수 있는 한편, 제 3 쌍의 챔버들(예컨대, 308a-b)은 증착된 필름의 UV 또는 E-빔 경화를 위해 사용될 수 있다. 또 다른 구성에 있어서, 모든 3쌍의 챔버들(예컨대, 308a-f)이 기판 상에서 유동성 유전체 필름을 증착 및 경화하도록 구성될 수 있다. 또 다른 구성에 있어서, 2쌍의 프로세싱 챔버들(예컨대, 308c-d, 및 308e-f)이 유동성 유전체의 증착과 UV 또는 E-빔 경화 모두를 위해 사용될 수 있는 한편, 제 3 쌍의 프로세싱 챔버들(예컨대, 308a-b)은 유전체 필름의 어닐링을 위해 사용될 수 있다. 개시된 프로세스들 중 임의의 하나 또는 그 초과가 상이한 실시예들에서 도시된 제조 시스템으로부터 분리된 챔버(들) 상에서 실시될 수 있다.
또한, 상기 프로세싱 챔버들(308a-f) 중 하나 또는 그 초과가 습식 처리 챔버로서 구성될 수 있다. 이들 프로세스 챔버들은 습기를 포함하는 분위기에서 유동성 유전체 필름의 가열을 포함한다. 따라서, 시스템(300)의 실시예들은 증착된 유전체 필름에 대한 습식 및 건식 어닐링을 모두 실시하기 위해 습식 처리 챔버들(308a-b)과 어닐링 프로세싱 챔버들(308c-d)을 포함할 수 있다.
실리콘 산화물 라이너 층이 감압 화학 기상 증착(SACVD)을 통해서 라이너 층을 증착하도록 구성되는 챔버들 중 하나 내에서 증착될 수 있다. TEOS 및 오존 또는 TEOS 및 산소(O2)의 조합에 기판을 노출시키는 것을 포함하는 상대적으로 높은 압력의 프로세스들을 설명하기 위한 다른 명칭들(names)이 사용될 수 있을 것이다. 그러한 시스템들은 캘리포니아 산타 클라라에 소재한 어플라이드 머티어리얼스사로부터 또한 입수할 수 있다. 상이한 실시예들에서, 실리콘 산화물 라이너 층의 두께는 100 Å 미만, 75 Å 미만, 50 Å 미만 및 25 Å 미만일 수 있다. 실리콘 산화물 라이너 층의 증착에 이어서, 기판이 도 4에 설명된 바와 같이 라디칼-컴포넌트 CVD 챔버로 이송될 수 있다. 대안적으로, 스핀-온 유전체(SOD), 스핀-온 글래스(SOG) 또는 다른 스핀-온 실리콘-함유 필름이 도포될 수 있다. 스핀-온 유동성 물질들은, 필름이 층박리 및 균열을 적게 나타낼 것이라는 점에서, 라디칼-컴포넌트 유동성 증착에 대해 유사한 장점들을 제공할 것이다. 본원 발명의 실시예들에서, 실리콘 및 질소를 포함하는 SOD 필름들이 이러한 장점들을 나타낼 것이다.
도 4a는 개시된 실시예들에 따른 기판 프로세싱 챔버(400)이다. 원격 플라즈마 시스템(RPS)(410)은 그 후 가스 입구 어셈블리(411)를 통해 이동하는 가스를 프로세싱할 수 있다. 2개의 분리된 가스 공급 채널들을 가스 입구 어셈블리(411) 내에서 볼 수 있다. 제 1 채널(412)은 원격 플라즈마 시스템(RPS(410))을 통과하는 가스를 운반하는 반면, 제 2 채널(413)은 RPS(400)를 우회한다(bypass). 개시된 실시예들에서, 제 1 채널(412)은 프로세스 가스를 위해 사용될 수 있고, 제 2 채널(413)은 처리 가스를 위해 사용될 수 있다. 덮개(또는 전도성 상단 부분)(421)와 천공된(perforated) 파티션(453)이 그들 사이의 절연 링(424)과 함께 도시되고, 상기 절연 링은 AC 전위가 천공된 파티션(453)에 대해서 덮개(421)에 인가될 수 있도록 한다. 프로세스 가스는 제 1 채널(412)을 통해 챔버 플라즈마 영역(420)으로 이동하며, 챔버 플라즈마 영역(420) 내의 플라즈마에 의해 단독으로, 또는 RPS(410)와 조합하여 여기될 수 있다. 챔버 플라즈마 영역(420) 및/또는 RPS(410)의 조합은 본 명세서에서 원격 플라즈마 시스템으로 지칭될 수 있다. (샤워헤드로서 또한 지칭되는) 천공된 파티션(453)은 샤워헤드(453) 아래의 기판 프로세싱 영역(470)으로부터 챔버 플라즈마 영역(420)을 분리시킨다. 샤워헤드(453)는 챔버 플라즈마 영역(420)에 존재하는 플라즈마가 기판 프로세싱 영역(470)의 가스들을 직접 여기시키는 것을 방지하도록 허용하는 한편, 여전히 여기된 종들이 챔버 플라즈마 영역(420)으로부터 기판 프로세싱 영역(470)으로 이동하도록 허용한다.
샤워헤드(453)는 챔버 플라즈마 영역(420)과 기판 프로세싱 영역(470) 사이에 위치설정되며, 챔버 플라즈마 영역(420) 내부에 생성된 플라즈마 유출물들(전구체들 또는 다른 가스들의 여기된 유도체들)이 복수의 관통 홀들(through hole)(456)을 통과할 수 있도록 허용하며, 상기 관통 홀은 판의 두께를 횡단한다. 또한, 상기 샤워헤드(453)는 (실리콘-함유 전구체와 같이) 증기 또는 가스 형태의 전구체로 충진될 수 있는 하나 또는 그 초과의 중공 체적들(451)을 갖고, 상기 중공 체적들은 작은 홀들(455)을 통해 기판 프로세싱 영역(470)으로 연장되나, 챔버 플라즈마 영역(420)으로 직접 연장되지는 않는다. 개시된 본 실시예에서, 샤워헤드(453)는 관통 홀들(456)의 최소 직경(450)의 길이보다 더 두껍다. 챔버 플라즈마 영역(420)으로부터 기판 프로세싱 영역(470)으로 침투하는 여기된 종들의 상당한(significant) 농도를 유지하기 위해, 관통 홀들의 최소 직경(450)의 길이(426)는 샤워헤드(453)에서 약간 떨어져(part away) 관통 홀들(456)의 더 큰 직경 부분들을 형성함으로써 제한될 수 있다. 개시된 실시예들에서, 상기 관통 홀들(456)의 최소 직경(450)의 길이는 관통 홀들(456)의 최소 직경과 같은 자릿수(same order of magnitude) 또는 그 미만일 수 있다.
도시된 실시예에서, 샤워헤드(453)는 산소, 수소 및/또는 질소를 포함하는 프로세스 가스들 및/또는 챔버 플라즈마 영역(420)의 플라즈마에 의한 여기 시, 그러한 프로세스 가스들의 플라즈마 유출물들을 (관통 홀들(456)을 통해) 분배할 수 있다. 실시예들에서, RPS(410) 및/또는 챔버 플라즈마 영역(420)에서 여기된 프로세스 가스들은 암모니아(NH3) 및 질소(N2) 및/또는 수소(H2)를 포함한다. 일반략적으로 말해서, 제 1 채널(412)을 통해 RPS(410) 및/또는 챔버 플라즈마 영역(420)으로 도입되는 프로세스 가스는 산소(O2), 오존(O3), N2O, NO, NO2, NH3, 그리고 N2H4를 포함하는 NxHy, 실란, 디실란, TSA 및 DSA 중 하나 또는 그 초과를 포함할 수 있다. 또한, 프로세스 가스는 헬륨, 아르곤, 질소(N2) 등과 같은 캐리어 가스를 포함할 수 있다. 또한, 제 2 채널(413)은 성장하는 또는 증착된 그대로의 필름으로부터 원하지 않는 컴포넌트를 제거하기 위해 사용되는 프로세스 가스 및/또는 캐리어 가스, 및/또는 필름-경화 가스를 전달할 수 있다. 플라즈마 유출물들은 프로세스 가스의 이온화된 유도체 또는 중성의 유도체를 포함할 수 있으며, 도입된 프로세스 가스의 원자 성분(atomic constituent)들을 지칭하는 라디칼-산소 전구체 및/또는 라디칼-질소 전구체로서 본 명세서에서 또한 지칭될 수 있다.
실시예들에서, 관통 홀들(456)의 수는 약 60 내지 약 2000개일 수 있다. 관통 홀들(456)은 다양한 형상을 가질 수 있으나, 가장 용이하게는 원형으로 제조된다. 개시된 실시예들에서, 관통 홀들(456)의 최소 직경(450)은 약 0.5㎜ 내지 약 20㎜ 이거나, 약 1㎜ 내지 약 6㎜일 수 있다. 또한, 관통 홀들의 단면 형상의 선택에 있어서의 관용도(latitude)가 있으며, 이는 원뿔형, 원통형 또는 이 두 가지 형상의 조합으로 제조될 수 있다. 상이한 실시예들에서, 가스를 기판 프로세싱 영역(470)으로 도입하기 위해 사용되는 작은 홀들(455)의 수는 약 100 내지 약 5000개 또는 약 500 내지 약 2000개일 수 있다. 상기 작은 홀들(455)의 직경은 약 0.1㎜ 내지 약 2㎜일 수 있다.
도 4b는 개시된 실시예들에 따른 프로세싱 챔버와 함께 사용하기 위한 샤워헤드(453)의 저면도이다. 샤워헤드(453)는 도 4a에 도시된 샤워헤드에 대응한다. 관통 홀들(456)은 샤워헤드(453)의 바닥에서 더 큰 내경(ID)을 갖고 상단에서 더 작은 ID를 갖는 것으로 도시되어 있다. 작은 홀들(455)이 샤워헤드의 표면에 걸쳐, 심지어는 관통 홀들(456) 사이에서도, 실질적으로 균일하게 분포되며, 이는 본 명세서에 개시된 다른 실시예들보다 더 균일한 혼합을 제공하는 것을 돕는다.
샤워헤드(453)에 관통 홀들(456)을 통해 도달하는 플라즈마 유출물들이 중공 체적들(451)로부터 발생하는 작은 홀들(455)을 통해 도달하는 실리콘-함유 전구체와 조합될 때, 기판 프로세싱 영역(470) 내부의 페데스탈(미도시)에 의해 지지되는 기판 상에 예시적 필름이 생성된다. 기판 프로세싱 영역(470)이 경화와 같은 다른 프로세스들을 위해 플라즈마를 지원하도록 구비될 수 있으나, 예시적 필름의 성장 동안 플라즈마는 존재하지 않는다.
플라즈마는 샤워헤드(453) 위의 챔버 플라즈마 영역(420) 또는 샤워헤드(453) 아래의 기판 프로세싱 영역(470)에서 점화될 수 있다. 질소-및-수소-함유 가스의 유입으로부터 라디칼 질소 전구체를 생성하기 위해서 플라즈마가 챔버 플라즈마 영역(420)에 존재한다. 증착 동안 챔버 플라즈마 영역(420)에서 플라즈마를 점화하기 위해, 샤워헤드(453)와 프로세싱 챔버의 전도성 상단 부분(421) 사이에, 통상적으로 무선 주파수(RF) 범위인, AC 전압이 인가된다. RF 파워 서플라이는 13.56㎒의 높은 RF 주파수를 발생시키지만, 또한 단독으로 또는 13.56㎒ 주파수와 조합하여 다른 주파수들을 발생시킬 수도 있다.
기판 프로세싱 영역(470)과 접한 내부 표면들을 세정하거나 필름을 경화시키기 위해 기판 프로세싱 영역(470)에서 하부 플라즈마가 턴 온될 때, 상부 플라즈마는 저전력으로 유지되거나 무전력으로 유지될 수 있다. 기판 프로세싱 영역(470)의 플라즈마는 샤워헤드(453)와 챔버의 페데스탈 또는 바닥 사이에 AC 전압을 인가함으로써 점화된다. 플라즈마가 존재할 때, 세정 가스가 기판 프로세싱 영역(470)으로 도입될 수 있다.
페데스탈은 열 교환 채널을 가질 수 있으며, 기판의 온도를 제어하기 위해 열 교환 채널을 통해 열 교환 유체가 흐른다. 이 구조는 기판 온도가 비교적 저온들(실온부터 약 120℃까지)로 유지되도록 냉각 또는 가열될 수 있도록 허용한다. 상기 열 교환 유체는 에틸렌 글리콜 및 물을 포함할 수 있다. 페데스탈(바람직하게, 알루미늄, 세라믹, 또는 이들의 조합)의 웨이퍼 지지 플래터(platter)는 또한 평행한 동심원들의 형태로 2개의 완전한 턴들(full turns)을 만들도록 구성된 내장식 단일 루프 내장식 히터 엘리먼트를 사용하여 비교적 고온들(약 120℃로부터 약 1100℃)을 달성하기 위해 저항식으로 가열될 수 있다. 히터 엘리먼트의 외부 부분은 지지 플래터의 원주에 인접하여 이어질(run) 수 있는 반면, 내부 부분은 더 작은 반경을 가진 동심원의 경로 상으로 이어진다. 히터 엘리먼트에 대한 배선은 페데스탈의 스템(stem)을 통과한다.
기판 프로세싱 시스템은 시스템 제어기에 의해 제어된다. 예시적 실시예에서, 시스템 제어기는 하드 디스크 드라이브, 플로피 디스크 드라이브 및 프로세서를 포함한다. 프로세서는 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. CVD 시스템의 다양한 컴포넌트들은 보드, 카드 케이지(cage) 및 커넥터 치수들 및 유형들을 규정하는 VME(Versa Modular European) 표준을 따른다. 또한, VME 표준은 16-비트 데이터 버스와 24-비트 어드레스 버스를 갖는 것으로서 버스 구조를 규정한다.
시스템 제어기는 CVD 머신의 모든 활동들을 제어한다. 시스템 제어기는 컴퓨터 판독가능한 매체 내에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행한다. 바람직하게, 매체는 하드 디스크 드라이브이지만, 매체는 또한 다른 종류들의 메모리일 수도 있다. 컴퓨터 프로그램은 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 특정 프로세스의 다른 파라미터들을 서술하는 명령들의 세트들을 포함한다. 예를 들어, 플로피 디스크 또는 다른 적합한 드라이브를 포함하는 다른 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 시스템 제어기에 지시하는데 또한 사용될 수 있다.
기판 상에 필름 스택을 증착하기 위한 프로세스 또는 챔버를 세정하기 위한 프로세스는 시스템 제어기에 의해 실행되는 컴퓨터 프로그램 물건을 사용하여 구현될 수 있다. 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능한 프로그래밍 언어, 예를 들어 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 기타 언어로 기록될 수 있다. 적합한 프로그램 코드가 종래의 텍스트 에디터를 사용하여 단일 파일 또는 다중 파일들로 입력되며, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체에 저장 또는 구현된다. 입력된 코드 텍스트가 고-레벨 언어로 되어 있다면, 코드는 컴파일되고, 결과적인 컴파일러 코드는 그 후 프리컴파일드 마이크로소프트 윈도우즈? 라이브러리 루틴들의 목적 코드와 링크된다. 링크된 컴파일드 목적 코드를 실행하기 위해, 시스템 유저는 목적 코드를 인보크하여, 컴퓨터 시스템이 메모리에 코드를 로딩하게 한다. 그 후 CPU는 코드를 판독하고 실행하여, 프로그램에서 식별된 임무들을 수행한다.
사용자와 제어기 사이의 인터페이스는 평판 터치 감응형 모니터를 통한다. 바람직한 실시예에서, 2개의 모니터들이 사용되는데, 하나는 조작자를 위해서 클린 룸 벽(clean room wall)에 장착되고 다른 하나는 서비스 기술자들을 위해서 벽의 뒤에 장착된다. 2개의 모니터들은 동일한 정보를 동시에 디스플레이할 수 있으며, 그 경우, 한번에 단지 하나만 입력을 수용한다. 특정 스크린 또는 기능을 선택하기 위해서, 조작자는 터치 감응형 모니터의 지정된 영역을 터치한다. 터치된 영역은 그것의 하이라이트 처리된 색상을 변화시키거나, 또는 새로운 메뉴 또는 스크린이 디스플레이되어, 터치 감응형 모니터와 조작자 사이의 통신을 확인한다. 그 밖의 디바이스들, 예컨대, 키보드, 마우스, 또는 다른 포인팅 또는 통신 디바이스가 터치 감응형 모니터 대신에 또는 그에 추가로 사용되어, 사용자가 시스템 제어기와 통신하게 허용할 수 있다.
본 명세서에서 사용된 바와 같이, "기판"은 그 위에 층들이 형성되거나 형성되지 않은 지지 기판일 수 있다. 지지 기판은 절연체이거나 다양한 도핑 농도들 및 프로파일들을 가진 반도체일 수 있으며, 예컨대, 집적 회로들의 제조에 사용되는 유형의 반도체 기판일 수 있다. "실리콘 산화물"의 층은 질소, 수소, 탄소 등과 같은 적은(minority) 농도들의 다른 원소 성분(elemental constituent)들을 포함할 수 있다. "여기된 상태"의 가스는 가스 분자들 중 적어도 일부가 진동-여기되고, 해리되고 및/또는 이온화된 상태에 있는 가스를 설명한다. 가스는 둘 또는 그 초과의 가스들의 조합일 수 있다. "트렌치"라는 용어는 에칭된 기하학적 구조가 큰 수평적 종횡비를 갖는다는 암시 없이 전체적으로 사용되었다. 표면 위에서 봤을 때, 트렌치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형태들을 나타낼 수 있다. "비아"라는 용어는 수직한 전기적 접속을 형성하기 위해 금속으로 충진되거나 충진되지 않을 수 있는 낮은 종횡비 트렌치를 지칭하기 위해 사용된다. "전구체"라는 용어는 표면으로부터 물질을 제거하거나 또는 표면에 물질을 증착하기 위한 반응에 참여하는 임의의 프로세스 가스를 지칭하기 위해서 사용된다.
몇 개의 실시예들을 개시하였지만, 본 발명의 사상을 벗어나지 않고 다양한 변형, 선택적 구성, 및 등가물들이 이용될수 있다는 것을 당업자들은 인식할 것이다. 부가적으로, 본 발명이 불필요하게 불명료해지는 것을 방지하기 위해서, 많은 수의 공지된 프로세스들 및 부재들을 설명하지는 않았다. 따라서, 상기 설명은 본 발명의 범주를 제한하는 것으로 간주되어서는 안 된다.
값들의 범위가 제공될 때, 별다른 명확한 지시가 없다면, 이들 범위의 상한치 및 하한치 사이에서, 하한치 유니트(unit)의 1/10 까지, 각각의 중간 값이 또한 구체적으로 개시된 것임을 이해할 수 있을 것이다. 임의의 언급된 값 또는 언급된 범위의 중간 값과 임의의 다른 언급된 값 또는 해당 언급된 범위의 중간 값 사이의 각각의 작은 범위가 포함된다. 이들 작은 범위들의 상한치 및 하한치들은 상기 범위에 독립적으로 포함되거나 배제될 수 있으며, 보다 작은 범위 내에 한계치들 중 하나 또는 둘 모두가 포함되거나 또는 둘 모두가 포함되지 않는 경우, 각각의 범위는 또한 본 발명에 포함되며, 만약 상한치 또는 하한치가 상기 작은 범위 내에 포함되나, 상기 언급된 범위에는 포함되지 않는다면 그러한 상한치 또는 하한치는 본원 발명에 포함되지 않을 것이다. 언급된 범위가 한계치들 중 하나 또는 둘 모두를 포함하는 경우, 이들 포함된 한계치들중 어느 하나 또는 둘 모두를 배제하는 범위가 또한 포함된다.
본 명세서 및 첨부되는 청구항들에서 사용되는 바와 같이, 단수 형태("a" "an" 및 "상기(the)")는 문맥상 명백한 다른 언급이 없다면 복수의 인용들을 포함한다. 따라서, 예를 들어, "프로세스(a process)"라는 인용은 복수의 이러한 프로세스들을 포함하며, "상기 전구체(the precursor)"라는 인용은 당업자에게 알려진 하나 또는 그 초과의 전구체 및 등가물들에 대한 인용을 포함하며, 기타의 경우도 유사하다.
또한, 본 명세서 및 하기 청구항들에서 사용되는 포함("comprise," "comprising," "include," "including," 및 "includes")이라는 단어는 언급된 피쳐들, 정수들, 부품들 또는 단계들의 존재를 특정하기 위한 것이나, 이는 하나 또는 그 초과의 다른 피쳐들, 정수들, 부품들, 단계들, 동작들 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (18)

  1. 기판 상에 유동성(flowable) 유전체 층을 형성하는 방법으로서,
    기판을 실리콘-함유 라이너 전구체 및 산소-함유 라이너 전구체에 노출시킴으로써, 일반적으로(generally) 컨포멀한 실리콘 산화물 라이너 층을 상기 기판 상에 형성하는 단계 ― 상기 기판은 라이너 증착 온도에서 유지됨 ― ; 및
    무-탄소(carbon-free) 유동성 실리콘-질소-및-수소-함유 층을 상기 기판 상에 형성하는 단계 ― 상기 기판은 벌크 증착 온도에서 유지됨 ―
    를 순차적으로 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 무-탄소 유동성 실리콘-질소-및-수소-함유 층을 형성하는 단계는,
    라디칼-질소 전구체를 생성하기 위해 질소-및-수소-함유 가스를 플라즈마 영역으로 유동시키는 단계;
    무-플라즈마 기판 프로세싱 영역에서 무-탄소 실리콘-함유 전구체를 상기 라디칼-질소 전구체와 조합하는 단계; 및
    상기 기판 상에 실리콘-및-질소-함유 층을 증착하는 단계
    를 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 질소-및-수소-함유 가스는 암모니아(NH3)를 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  4. 제 2 항에 있어서,
    상기 질소-및-수소-함유 가스는 질소(N2), 수소(H2), 히드라진(N2H4) 및 암모니아(NH3) 중 적어도 하나를 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 일반적으로 컨포멀한 실리콘 산화물 라이너의 두께는 약 100 Å 또는 그 미만인, 기판 상에 유동성 유전체 층을 형성하는 방법.
  6. 제 2 항에 있어서,
    상기 무-탄소 실리콘-함유 전구체는 N(SiH3)3를 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 무-탄소 유동성 실리콘-질소-및-수소-함유 층은 무-탄소 Si-N-H 층을 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 벌크 증착 온도는 상기 라이너 증착 온도보다 더 낮은, 기판 상에 유동성 유전체 층을 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 벌크 증착 온도는 120℃ 미만인, 기판 상에 유동성 유전체 층을 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 라이너 증착 온도는 400℃ 초과인, 기판 상에 유동성 유전체 층을 형성하는 방법.
  11. 제 1 항에 있어서,
    오존-함유 분위기에서 상기 기판의 온도를 약 400℃ 또는 그 미만으로 유지하는 경화 단계를 더 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  12. 제 11 항에 있어서,
    원자 산소, 오존, 및 증기(H2O)로 이루어진 그룹으로부터 선택된 하나 또는 그 초과의 가스들을 포함하는 산소-함유 분위기에서 약 600℃ 또는 그 초과의 산소 어닐링 온도로 상기 기판의 온도를 높이는 경화-후 단계를 더 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  13. 제 2 항에 있어서,
    상기 플라즈마 영역은 원격 플라즈마 시스템에 있는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  14. 제 2 항에 있어서,
    상기 플라즈마 영역은 샤워헤드에 의해서 상기 무-플라즈마 기판 프로세싱 영역으로부터 분리된 상기 기판 프로세싱 챔버의 구획된(partitioned) 부분인, 기판 상에 유동성 유전체 층을 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 산소-함유 라이너 전구체는 오존을 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  16. 제 1 항에 있어서,
    상기 산소-함유 라이너 전구체는 산소(O2)를 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  17. 제 1 항에 있어서,
    상기 실리콘-함유 라이너 전구체는 TEOS를 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
  18. 제 1 항에 있어서,
    상기 실리콘-및-질소-함유 층을 증착하는 동작은 스핀-온 유전체(SOD: spin-on dielectric) 층을 증착하는 단계를 포함하는, 기판 상에 유동성 유전체 층을 형성하는 방법.
KR1020127020616A 2010-01-06 2010-12-21 유동성 유전체 층의 형성 방법 KR101528832B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US29252010P 2010-01-06 2010-01-06
US61/292,520 2010-01-06
US12/974,495 US8647992B2 (en) 2010-01-06 2010-12-21 Flowable dielectric using oxide liner
US12/974,495 2010-12-21
PCT/US2010/061605 WO2011084812A2 (en) 2010-01-06 2010-12-21 Flowable dielectric using oxide liner

Publications (2)

Publication Number Publication Date
KR20120102155A true KR20120102155A (ko) 2012-09-17
KR101528832B1 KR101528832B1 (ko) 2015-06-15

Family

ID=44224951

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127020616A KR101528832B1 (ko) 2010-01-06 2010-12-21 유동성 유전체 층의 형성 방법

Country Status (7)

Country Link
US (1) US8647992B2 (ko)
JP (1) JP2013517616A (ko)
KR (1) KR101528832B1 (ko)
CN (1) CN102754193A (ko)
SG (1) SG182336A1 (ko)
TW (1) TWI544548B (ko)
WO (1) WO2011084812A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101837648B1 (ko) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US9470771B2 (en) 2012-06-08 2016-10-18 Liposcience, Inc. NMR measurements of NMR biomarker GlycA
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9362111B2 (en) 2014-02-18 2016-06-07 Applied Materials, Inc. Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US9431238B2 (en) * 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
CN105244269B (zh) * 2014-07-09 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
CN111656510A (zh) 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901220B2 (en) * 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7478776B2 (ja) 2021-07-07 2024-05-07 アプライド マテリアルズ インコーポレイテッド ゲートスタック形成のための統合湿式洗浄
US20240087910A1 (en) * 2022-09-14 2024-03-14 Applied Materials, Inc. Methods of highly selective silicon oxide removal

Family Cites Families (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH01189128A (ja) * 1988-01-22 1989-07-28 Matsushita Electric Ind Co Ltd プラズマcvd方法
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP3216266B2 (ja) * 1992-09-22 2001-10-09 ソニー株式会社 平坦化絶縁膜の製造方法
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
JPH07335754A (ja) * 1994-06-13 1995-12-22 Sony Corp 半導体装置の製造方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) * 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
KR19980087552A (ko) * 1997-05-28 1998-12-05 윌리엄 버. 켐플러 집적 회로 유전체 및 그 방법
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
TW366555B (en) * 1997-12-18 1999-08-11 Promos Technologies Inc Manufacturing process of inter-metal dielectrics
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) * 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) * 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP2002110781A (ja) * 2000-09-19 2002-04-12 Promos Technologies Inc 浅溝槽分離区の平坦化方法
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6543899B2 (en) * 2000-12-05 2003-04-08 Eastman Kodak Company Auto-stereoscopic viewing system using mounted projection
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) * 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) * 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6593248B2 (en) 2001-03-23 2003-07-15 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007112780A1 (en) 2006-04-03 2007-10-11 L'air Liquide Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) * 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) * 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
KR101837648B1 (ko) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Also Published As

Publication number Publication date
CN102754193A (zh) 2012-10-24
US8647992B2 (en) 2014-02-11
JP2013517616A (ja) 2013-05-16
KR101528832B1 (ko) 2015-06-15
WO2011084812A2 (en) 2011-07-14
SG182336A1 (en) 2012-08-30
TW201131652A (en) 2011-09-16
TWI544548B (zh) 2016-08-01
WO2011084812A3 (en) 2011-11-17
US20110165781A1 (en) 2011-07-07

Similar Documents

Publication Publication Date Title
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US8629067B2 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
KR101847593B1 (ko) 유동가능한 cvd 갭 충진을 위한 산화물­부화 라이너 층
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
US20120238108A1 (en) Two-stage ozone cure for dielectric films
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
KR20130014543A (ko) 라디칼 성분 cvd에 의한 컨포멀 층들
JP2013508975A (ja) 引張膜のための応力管理
KR20140009170A (ko) 실리콘­질화물­수소화물 필름들의 아민 큐어링
WO2012145148A2 (en) Low temperature silicon oxide conversion

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180601

Year of fee payment: 4