JP4371543B2 - リモートプラズマcvd装置及び膜形成方法 - Google Patents

リモートプラズマcvd装置及び膜形成方法 Download PDF

Info

Publication number
JP4371543B2
JP4371543B2 JP2000196619A JP2000196619A JP4371543B2 JP 4371543 B2 JP4371543 B2 JP 4371543B2 JP 2000196619 A JP2000196619 A JP 2000196619A JP 2000196619 A JP2000196619 A JP 2000196619A JP 4371543 B2 JP4371543 B2 JP 4371543B2
Authority
JP
Japan
Prior art keywords
plasma
gas
generation region
cvd apparatus
perforated plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2000196619A
Other languages
English (en)
Other versions
JP2002016056A (ja
Inventor
克久 湯田
裕 野上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
NEC Corp
Original Assignee
Canon Anelva Corp
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp, NEC Corp filed Critical Canon Anelva Corp
Priority to JP2000196619A priority Critical patent/JP4371543B2/ja
Priority to US09/820,149 priority patent/US6851384B2/en
Publication of JP2002016056A publication Critical patent/JP2002016056A/ja
Priority to US10/978,150 priority patent/US7392759B2/en
Priority to US11/620,518 priority patent/US7709063B2/en
Application granted granted Critical
Publication of JP4371543B2 publication Critical patent/JP4371543B2/ja
Priority to US12/726,187 priority patent/US20100170442A1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、プラズマCVD装置及びこれを用いた膜形成方法に関し、特に、プラズマ生成領域と基板処理領域を分離または隔離するプラズマCVDの成膜装置及び成膜方法に関し、プラズマ分解を意図しないガスをプラズマ生成領域に逆流させないようにした装置及び方法に関する。
【0002】
【従来の技術】
従来、プラズマダメージを抑制しながら基板への膜形成を行うプラズマCVD装置の1つに、プラズマ生成領域と基板処理領域を隔離するリモートプラズマCVD装置があり、これを用いたCVD膜形成は、半導体デバイスプロセスにおいて高信頼性デバイスや高性能デバイスが作製可能な処理プロセスとして重要な技術となっている。
【0003】
大面積フラットパネルディスプレイのスイッチングトランジスタ形成プロセス、駆動回路トランジスタ形成プロセス、及び大口径シリコンウエハプロセス等の大型基板に対応できるリモートプラズマCVD装置として、例えば、特開昭53−91665号公報に記載された平行平板リモートプラズマCVD装置が知られている。
【0004】
ここで、図12を参照して、従来の平行平板リモートプラズマCVD装置について説明する。
【0005】
図示の平行平板プラズマCVD装置では、基板30が設置される基板サセプタ20と高周波印加電極10との間に、複数の貫通孔(ラジカル通過孔)50が開口されたプラズマ閉じ込め電極80が設置されている。そして、このプラズマ閉じ込め電極80と高周波印加電極10との間で第1のガス100のプラズマ60を閉じこめている。
【0006】
このように、図示の平行平板プラズマCVD装置では、平行平板で発生させた大面積均一なプラズマを用いるため、基板処理に必要なラジカルの供給が大面積均一に行える。さらに、この平行平板プラズマCVD装置では、プラズマ分解しない第2のガスを電極面に均一に分布した中性ガス噴射孔90から供給しており、このため、拡散したラジカルとの反応による成膜処理を大面積均一に行うこともできる。
【0007】
図12に示す平行平板リモートプラズマCVD装置において、基板30上に、例えば、酸化シリコン膜を形成する例について説明する。ここでは、第1のガスとして酸素ガスをプラズマ生成領域に供給し、高周波印加電極10に高周波電力を印加して酸素プラズマを生成する。この酸素プラズマはプラズマ閉じ込め電極80によって高周波印加電極10との間に閉じ込められるため、ラジカル通過孔50を通って成膜領域へ供給されるのは、励起酸素原子、励起酸素分子、酸素原子、酸素分子、及びオゾンであり、酸素イオンや電子はほとんど供給されない。
【0008】
一方、第2のガスであるモノシランガスが中空構造であるプラズマ閉じ込め電極80内に供給され、プラズマ閉じ込め電極の基板側の面に開口された中性ガス噴射孔90からモノシランガスが供給される。プラズマ閉じ込め電極80と基板30との間において、励起酸素原子、励起酸素分子、酸素原子、酸素分子、及びオゾンとモノシランガスとの気相反応が起こり、SiH、SiH、SiO等の酸化シリコン前駆体が生成され、これらが基板上に付着し、さらに、基板上成長表面での酸化反応や熱解離等を経て基板上に酸化シリコン膜が成膜される。
【0009】
ここでは、ラジカル通過孔50及び中性ガス噴射孔90がプラズマ閉じ込め電極80上で面内均一に分布しているため、それぞれの孔から供給されるガスのフラックスの面内分布が均一になりやすい。従って、上述の気相反応も基板上空間で面内均一に起こり、酸化シリコン前駆体の基板上面内分布も均一となる。その結果、基板上に形成される酸化シリコン膜の膜質分布も面内均一となる。
【0010】
このように、プラズマダメージを抑制しながら基板面内均一性に優れる薄膜を形成することができる平行平板リモートプラズマCVDは、大型ガラス基板上薄膜トランジスタのゲート絶縁膜となる酸化シリコン膜や窒化シリコン膜、同様に、大型ガラス基板上薄膜トランジスタの活性層やゲート電極となる非晶質シリコン膜、さらに、大型Si基板上トランジスタ素子の層間絶縁膜となる酸化シリコン膜や窒化シリコン膜などを成膜する手法として有望視されている。
【0011】
【発明が解決しようとする課題】
ところで、リモートプラズマCVD技術のもう一つの特徴は、プラズマ分解するガスとプラズマ分解しないガスとに分けて材料ガスの供給ができることである。
【0012】
例えば、前述した酸素/モノシラン系CVDによる酸化シリコン形成の場合、モノシランをプラズマ分解してしまうと水素原子や水素分子が過剰に生成されてしまい、酸化シリコン膜中に多量のHやOHを含んでしまうという問題が生ずる。しかしながら、前述したようにリモートプラズマCVDでは、酸素ガスのみをプラズマ分解して活性な酸素原子や酸素励起種を生成し、これと中性のモノシランを反応させることで成膜を行うことができるため、水素原子や水素分子の過剰生成を抑え、かつ、酸素原子や酸素励起種等による酸化力の強い雰囲気で気相反応を生じさせることができる。
【0013】
従って、HやOHの含有量が少なく、化学量論的組成に近い高品質の二酸化シリコン膜を形成することができる。
【0014】
上述のリモートプラズマCVDの特徴を活かすためには、モノシランがプラズマ領域へ侵入するのを防止又は抑制する装置(機構)を開発する必要がある。つまり、図12に示す平行平板リモートプラズマCVD装置において、モノシランガスがプラズマ分解されるのを最小限に抑えるには、図13中に矢印で示すようなモノシランガスのプラズマ生成領域(プラズマ60)への逆流を抑える必要がある。即ち、プラズマ閉じ込め電極80とチャンバ壁70の隙間を逆流するモノシラン130や、ラジカル通過孔50を逆流するモノシラン131の量をでき得る限り抑えることが重要である。
【0015】
このような装置例として、ラジカル通過孔の開口部の面積の総和Sと、プラズマ閉じ込め電極領域におけるガスの通過する面積S1の関係を0.8≦S/S≦1とした装置が、例えば、特開平8−45858号公報に記載されている。S/S=1では、図14に示すように、プラズマ閉じ込め電極(メッシュプレート)81とチャンバ壁70との隙間がないことを意味しており、逆流するモノシラン132がプラズマ閉じ込め電極81とチャンバ壁70の間から酸素プラズマ生成領域170中へ逆流してプラズマ分解されることはない。
【0016】
しかしながら、特開平8−45858号公報に記載された手法では、プラズマ閉じ込め電極81に開口されたラジカル通過孔51を通って酸素プラズマ生成領域170中へ逆流するモノシラン133については考慮されておらず、依然としてモノシランがプラズマ分解されるという問題点がある。
【0017】
本発明の目的は、プラズマ分解を意図しないガスをプラズマ生成領域に逆流させないリモートプラズマCVD装置及びリモートプラズマCVD成膜法を提供することにある。
【0018】
【課題を解決するための手段】
上記の目的を達成するため本発明は、第1のガスのプラズマを形成するプラズマ生成領域と、プラズマ生成領域外で被堆積基板を設置する基板保持機構を有し、かつプラズマ生成領域と被堆積基板の間で第2のガスを供給する手段を有するプラズマCVD装置において、プラズマ生成領域と第2のガスを供給する手段の間に複数の貫通孔が開口された多孔板が配置されており、前記貫通孔の開口率が5%以下であることを特徴としている。
【0019】
貫通孔の開口率を5%以下に制限することで、供給された第2のガス(モノシラン等)が第1のガスのプラズマ(酸素プラズマなど)に逆流することが防止または抑制され、プラズマ分解される第2のガスの量を防止または抑制することができる。
【0020】
また、本発明におけるプラズマCVD装置は、前記多孔板がプラズマCVD装置チャンバ内壁との間に隙間を持たずに設置されていることを特徴としている。貫通孔の開口率を5%以下とし、かつチャンバ内壁と多孔板の間に隙間を持たせないことで、貫通孔を経由する第2のガスのプラズマ生成領域への逆流の防止または抑制だけでなく、チャンバ内壁と多孔板の間の隙間を経由する第2のガスのプラズマ生成領域への逆流をも防止することができる。
【0021】
また、本発明におけるプラズマCVD装置は、前記多孔板の各貫通孔の直径が3mm以下であることを特徴としている。前記多孔板において、板内全体の開口率だけでなく貫通孔一つ一つの直径を制限することにより、貫通孔内のコンダクタンスを低くすることができる。コンダクタンスが低ければ、前記多孔板のガス供給側と被処理基板側の圧力差が大きくなり、圧力の低い領域で供給される第2のガスが圧力の高いプラズマ生成領域側へ逆流するのを防止または抑制することができる。
【0022】
また、本発明におけるプラズマCVD装置は、前記多孔板の貫通孔同士の間隔が、貫通孔の中心を基準としたときに、前記多孔板と被堆積基板との距離以下であることを特徴としている。本発明において、第2ガスのプラズマ生成領域への逆流を防止または抑制するために前記多孔板の開口率や開口径を制限しているが、貫通孔の間隔が開きすぎると、多孔板を通過した第1のガス(ラジカル、励起種を含む)の被堆積基板上面内分布が貫通孔に対応した分布を持ってしまう。これを防ぐためには、孔同士の間隔を多孔板と被堆積基板の距離以下にする必要がある。これにより、多孔板を通った第1のガスの面内分布を犠牲にせずに、第2のガスがプラズマ生成領域側へ逆流するのを防止または抑制することができる。
【0023】
また、本発明におけるプラズマCVD装置は、前記プラズマ生成領域が、電気的に接地された金属製プラズマ閉じ込め電極で仕切られていることを特徴としている。プラズマを閉じ込めるための接地された金属製プラズマ閉じ込め電極でプラズマを閉じ込めることにより、プラズマ生成領域と被堆積基板の間に存在する荷電粒子の数を無視できる程度に抑えることが出来る。したがって、多孔板とプラズマ生成領域の間にはプラズマが存在せず、多孔板を通って逆流する第2のガスがわずかに存在したとしても、多孔板とプラズマ生成領域の間ではプラズマ分解されることが無い。
【0024】
また、本発明におけるプラズマCVD装置は、前記多孔板自身が、電気的に接地された金属製プラズマ閉じ込め電極となっていることを特徴としている。前述の接地された金属製プラズマ閉じ込め電極の機能を前記多孔板が兼ねることにより、第2のガスがプラズマ生成領域に逆流しづらい構造であっても、装置構造が複雑化するのを避けることができる。
【0025】
また、本発明におけるプラズマCVD装置は、前記多孔板が前記貫通孔と独立した中空部を有しており、前記中空部は被堆積基板に向かって開口された噴射孔を有しており、前記噴射孔から第2のガスを供給することを特徴としている。第2ガス供給の機能を前記多孔板が兼ねることにより、第2のガスがプラズマ生成領域に逆流しづらい構造であっても、装置構造が複雑化するのを避けることができる。また、多孔板が第2ガス供給の機能および接地された金属製プラズマ閉じ込め電極の機能の両方を兼ねていれば、さらに装置構造は単純化する。
【0026】
また、本発明におけるプラズマCVD装置は、前記多孔板を境とするプラズマ生成領域側と、多孔板を境とする被堆積基板側の領域とには、それぞれ独立した圧力調整機構が具備されていることを特徴としている。前記多孔板の開口率および貫通孔の径を制限し、さらに前記多孔板とチャンバ内壁との隙間を無くすことによって、前記多孔板を境に(被堆積基板側の圧力)<(プラズマ生成領域側の圧力)の関係を持つ圧力差が生じやすくなる。しかし、プラズマ生成領域の圧力が過剰に高くなると、生成した励起酸素や酸素原子が消滅し易くなり、第2ガス供給領域に十分な量の励起酸素や酸素原子を供給できなくなってしまう。本発明のように、前記多孔板を境とするプラズマ生成領域側と、多孔板を境とする被堆積基板側の領域とに、それぞれ独立した圧力調整機構が設けられていれば、それぞれの領域を所望の圧力に設定することができる。
【0027】
また、本発明における膜形成方法は、第1のガスを酸素原子を含むガスとし、第2のガスをシリコン原子および水素原子を含むガスとして、上記に記載の多孔板を有するプラズマCVD装置を用いて被処理基板上に酸化シリコン膜の形成を行うことを特徴としている。シリコン原子および水素原子を含むガスがプラズマ生成領域へ逆流するのを防止または抑制できるため、形成される酸化シリコン膜に含まれるHまたはOHの量を低く抑えることができる。
【0028】
また、本発明における膜形成方法は、上記に記載のプラズマCVD装置を用い、多孔板を境とする被処理基板側の領域よりも多孔板を境とするプラズマ生成領域側の方が圧力が高い状態で、被処理基板上に成膜処理を行うことを特徴としている。また、この膜形成方法において、第1のガスを酸素原子を含むガス、第2のガスをシリコン原子および水素原子を含むガスとすることを特徴としている。シリコン原子および水素原子を含むガスがプラズマ生成領域へ逆流するのを防止できるプラズマCVD装置において、プラズマ生成領域の過剰な圧力上昇を防ぐことができるため、十分な量の酸素励起種および酸素原子の供給下でシリコン原子および水素原子を含むガスとの気相反応を行うことができるため、高品質の酸化シリコン膜を形成することができる。
【0029】
【発明の実施の形態】
以下本発明について実施の形態に基づいて説明する。
ここでは、酸素とモノシランの反応を用いるリモートプラズマCVDによる酸化シリコン膜形成を例として説明する。
【0030】
図1に示すリモートプラズマCVD装置は、真空排気可能な真空チャンバを備えており、この真空チャンバ内には、酸素プラズマ生成領域170が規定される。さらに、真空チャンバ内には、基板30が設置される基板サセプタ20、酸素ラジカル通過孔(貫通孔)190を有する多孔板220、リング状モノシランインジェクタ200が備えられている。
【0031】
図示のように、多孔板220は、リング状モノシランインジェクタ200と酸素プラズマ生成領域170との間に位置しており、チャンバ内壁70と多孔板220との間に隙間はない。
【0032】
図1に示すリモートプラズマCVD装置では、プラズマの生成手法として、高周波パワーが印加可能なアンテナ230を誘電体窓240上に設置した誘導結合放電を用いている(なお、誘導結合放電の代わりに、例えば、容量結合による放電、マイクロ波放電等の手法を用いてもよく、使用する放電手法に応じてプラズマ生成領域周辺に適切な放電機構が備えられることになる)。
【0033】
図1に示す例では、プラズマ生成領域170が基板30から空間的に十分離れており、プラズマ生成領域170におけるイオン密度及び電子密度は、プラズマ生成領域から基板に向かって急激に減少するため、基板30付近でのイオンや電子の密度は無視できる程度である。
【0034】
ここで、図2に多孔板220の平面図を示す。多孔板220には、プラズマ生成領域170で生成された酸素原子、励起酸素原子、励起酸素分子、酸素分子、及びオゾンを基板側へ通過させるための酸素ラジカル通過孔(貫通孔)190が面内に多数開口されている。貫通孔190の分布は、図2に示すような面内均一な開口手法に限らず、中心部の開口率が高い分布、周辺部の開口率が高い分布等、どのような分布でもよい。
【0035】
図2に示す多孔板220において、開口部の開口率は5%以下となっており、このため、リング状モノシランインジェクタ200から供給されたモノシランガス140が酸素プラズマ生成領域170へ侵入するのを多孔板220が防止又は抑制することになる。
【0036】
例えば、多孔板220が400mm×500mmの大きさで、多孔板に100個の酸素ラジカル通過孔(貫通孔)190を設けたとすると、その開口部の直径が11mm程度で開口率5%となる。
【0037】
いま、酸素ラジカル通過孔(貫通孔)190が直径11mm、長さ20mmの円筒形であって、流量1SLMの酸素ガスをプラズマ生成領域に供給し、基板30が設置されている成膜領域の圧力が30Paになるように真空排気制御をした際、多孔板220を境に酸素プラズマ生成領域170側の圧力は35Pa程度になる。
【0038】
このように、多孔板220を境界にプラズマ生成領域側よりも成膜領域側の方が圧力が低くなるため、成膜領域側で供給されるモノシランガス140が酸素ラジカル通過孔190を通って酸素プラズマ生成領域170に侵入することが防止又は抑制できる。
【0039】
なお、前述したように、多孔板220はチャンバ内壁との間に隙間を有しないから、モノシランガス140がプラズマ領域側へ逆流する経路は酸素ラジカル通過孔(貫通孔)190のみであり、この孔の開口率を上述のように制限することが重要である。
【0040】
モノシランガス140がプラズマ生成領域に逆流する可能性をより低くするためには、酸素ラジカル通過孔190の直径Rを小さくすればよく、3mm以下程度にすれば効果的である。酸素ラジカル通過孔(貫通孔)190が100個開口された図2に示す多孔板220において、酸素ラジカル通過孔(貫通孔)190の直径が2mm、長さが10mmの場合、上記の例と同様に、流量1SLMの酸素ガスをプラズマ生成領域に供給し、成膜領域の圧力が30Paになるように真空排気制御をした際、多孔板220を境に酸素プラズマ生成領域170側の圧力は58Pa程度になる。
【0041】
このように、酸素ラジカル通過孔の長さが一定の時、孔の径を小さく制限すれば、多孔板を境界にしてプラズマ領域側と成膜領域側との圧力差を大きくすることができ、モノシランガスのプラズマ領域側への逆流をより効果的に抑えることができる。
【0042】
なお、多孔板220の酸素ラジカル通過孔(貫通孔)190の開口率や開口径を制限した際に、酸素ラジカル通過孔(貫通孔)同士の間隔が離れすぎると、多孔板220を通過した励起種及びラジカルを含む酸素ガス210の基板付近での面内分布が、図3に示すように貫通孔に対応した分布を持ってしまう。これは、図2に示す貫通孔同士の距離D、D'及びD''が、図1中に示す多孔板220と基板30との距離Hより長い場合、酸素ラジカル通過孔(貫通孔)190から基板に向かって供給される励起種及びラジカルを含む酸素ガス210が横方向の十分な拡散が行われる前に基板に到達してしまうからである。
【0043】
モノシランガスが供給されている雰囲気に励起種及びラジカルを含む酸素ガス210が供給された場合には、SiO、SiH、SiO等の酸化シリコン前駆体の横方向拡散が十分に起こる前に基板に到達してしまうため、基板上に形成される酸化シリコン膜の基板面内分布も、図3に示すようなプロファイルとなってしまう。これを防ぐためには、前記距離D、D'及びD''を、前記距離H以下にする必要がある。前記距離D、D'及びD''が短ければ短いほど励起種及びラジカルを含む酸素ガス210の分布は均一になるが、短すぎると酸素ラジカル通過孔(貫通孔)の開口率が大きくなってしまうため、距離D、D'及びD''は、酸素ラジカル通過孔(貫通孔)の開口率及び開口径を考慮して設定する必要がある。
【0044】
次に、酸化シリコン膜の形成について説明する。ここでは、開口径2mmで長さ10mmの円筒形酸素ラジカル通過孔(貫通孔)190が100個開口された400mm×500mmの多孔板220を用いた例について説明する。貫通孔同士の距離は、図2に示すDに相当する距離が約46mm、D''に相当する距離が約36mm、D'に相当する距離が約58mmである。
【0045】
まず、真空排気されたCVDチャンバー内に1SLMの流量で酸素ガス150を導入し、基板30付近の圧力が30Paになるように排気能力を制御する。前述の多孔板220を用いた結果、多孔板220を境にプラズマ生成領域側の圧力は58Paとなっており、基板30の位置する成膜領域の約2倍の圧力となっている。
【0046】
次に、アンテナ230に高周波電力を印加して酸素プラズマを形成する。酸素プラズマ生成領域170は基板30から十分離れた距離にあり、かつ、開口径の小さい酸素ラジカル通過孔(貫通孔)190を有する多孔板220が基板30と酸素プラズマ生成領域170の間に位置しているため、酸素プラズマ生成領域170中でのプラズマ密度が10〜1010cm−3程度であるのに対し、多孔板220と基板30との間の領域のプラズマ密度は10cm−3以下となっている。即ち、酸素プラズマ生成領域170中では電子、酸素原子イオン、酸素分子イオン、酸素原子、励起酸素原子、励起酸素分子、酸素分子、及びオゾン等が存在するが、多孔板220と基板30との間の領域に達する電子及びイオンの量は無視できる程度である。
【0047】
成膜領域に噴射されるモノシランガス140と反応して酸化シリコン膜成膜に寄与するのは、酸素原子、励起酸素原子、励起酸素分子、酸素分子、及びオゾンである。これらの励起種及びラジカルを含む酸素ガス210は酸素ラジカル通過孔(貫通孔)190を通って成膜領域に拡散し、リング状モノシランインジェクタ210から流量5SCCMで噴射されたモノシラン140と反応してSiO、SiO、SiH等の酸化シリコン前駆体を形成する。そして、基板30上に酸化シリコン膜が形成される。
【0048】
ここで、リング状モノシランインジェクタ200から噴射されたモノシラン140は、多孔板220の方向にも拡散して酸素ラジカル通過孔(貫通孔)190にも到達するが、前述したような圧力差が多孔板220を境界として存在しているため、モノシラン140が酸素ラジカル通過孔(貫通孔)190を通って酸素プラズマ生成領域170側へ通り抜けることはほとんどない。
【0049】
従って、モノシランガスが直接プラズマ分解されることがないため、水素や励起水素が成膜領域での気相反応に寄与することはほとんどなく、形成される酸化シリコン膜中に含有されるHやOHの量も低く抑えられる。
【0050】
なお、図1中のHに相当する距離は100mmであり、Hは前述の距離D、D'、及びD''の2倍以上であるため、励起種及びラジカルを含む酸素ガス210や気相で形成された酸化シリコン前駆体の基板上面内分布は均一となり、基板30上に面内均質な酸化シリコン膜を形成することができる。
【0051】
さらに、前述したように、多孔板220と基板30との間のプラズマ密度は非常に低くなっているため、通常の平行平板プラズマCVDに比べて基板30へのプラズマダメージは非常に低くなっている。この効果は、基板表面がMOS界面を形成するシリコン表面の場合には顕著に現れ、通常の平行平板プラズマCVDで単結晶シリコン基板上に酸化シリコン膜を形成した場合にそのMOS界面準位密度がミッドギャップ付近で1011〜1012cm−2eV−1であるのに対し、本リモートプラズマCVDで酸化シリコン膜を形成した場合には、1010cm−2eV−1台の低界面準位密度となる。
【0052】
なお、図1に示す装置では、酸素プラズマ生成領域170と基板30との距離を十分に離すことで基板へのプラズマダメージを抑制していたが、例えば、図4に示すように電気的に接地された金属製のプラズマ閉じ込め電極82でプラズマを効率よく閉じ込めるようにすれば、多孔板220と基板30との間のプラズマ密度をより低減することができる。プラズマ閉じ込め電極82が効率よくプラズマを閉じ込めるためには、開口部の大きさがプラズマのデバイ長と同程度またはそれ以下となっていればよい。
【0053】
さらに他の例として、図5に示すように、多孔板自体を電気的に接地した金属製多孔板250とし、このプラズマ閉じ込め多孔板250で酸素プラズマの閉じ込めとモノシランガスのプラズマ生成領域への逆流防止の2つの役割を果たすこともできる。この構造の最大の利点は、モノシランがプラズマ生成領域へ逆流しずらい構造であっても、装置構造が複雑化しないことである。プラズマ閉じ込め多孔板250の構造は、図2に示す多孔板220の構造と同様であるが、酸素ラジカル通過孔191はプラズマ閉じ込めの役割を果たすために酸素プラズマのデバイ長程度以下のサイズであることが必要である。
【0054】
図2に示す例において、開口径2mm、長さ10mmの円筒状酸素ラジカル通過孔(貫通孔)190が100個開口された400mm×500mmの多孔板を用い、酸素ガスを1SLM供給して基板領域を30Paに調節したところ、プラズマ生成領域が58Paになることを説明したが、この多孔板を金属製として、電気的に接地して、図5に示すプラズマ閉じ込め多孔板250とすれば、酸素ガス1SLM、基板領域30Paの条件で、酸素プラズマ生成領域172の圧力は同様に58Pa程度となる。ここで、13.56MHzの高周波電力を1W/cm程度印加してプラズマ密度10cm−3、電子温度10K程度の酸素プラズマを生成した場合のデバイ長は2mm程度であり、酸素ラジカル通過孔の径と同程度となる。従って、開口径2mm、長さ10mmの円筒状酸素ラジカル通過孔(貫通孔)191が、100個開口された400mm×500mmの多孔板をプラズマ閉じ込め多孔板250とすれば、モノシランガスの逆流防止とプラズマの効果的な閉じ込めを両立することができる。
【0055】
さらに他の例について、図6乃至図10を参照して詳細に説明する。
【0056】
図6に示すリモートプラズマCVD装置と図5に示す装置の差異は、リング状モノシランインジェクタ210がなく、図5に示すプラズマ閉じ込め多孔板250がモノシランインジェクタの役割をも果たしている点である。つまり、図6に示すリモートプラズマCVD装置では、プラズマ閉じ込め多孔板兼モノシランインジェクタ260が備えられていることになる。
【0057】
このような構成とすることによって、さらに装置構造を単純化することができるとともに、モノシランガス供給の基板面上分布の均一化も実現することができる。
【0058】
ここで、プラズマ閉じ込め多孔板兼モノシランインジェクタ260の構造を図7の断面図及び図8の平面図に示す。酸素ラジカル通過孔(貫通孔)192の構造については、前述のプラズマ閉じ込め多孔板250の酸素ラジカル通過孔(貫通孔)191と同様である。プラズマ閉じ込め多孔板兼モノシランインジェクタ260の特徴は、図7に示すように、プラズマ閉じ込め多孔板上部板310とプラズマ閉じ込め多孔板下部板320とで挟まれた空間が中空部360となっており、この中空部360が酸素ラジカル通過孔(貫通孔)192とは独立した構造になっていることである。
【0059】
図示の例では、この中空部360にモノシラン140が供給され、成膜領域に向かって開口されたモノシラン噴射孔121を通してモノシラン140が供給される。図7には示されていないが、中空部360は繋がった空間であり、モノシランガスはこの中空部360で面内均一化され、図8に示すようにプラズマ閉じ込め多孔板下部板320に面内均一に開口されたモノシラン噴射孔121から成膜領域へ供給されることになる。
【0060】
さらに、モノシランガスを基板面直上で均一に供給するためには、プラズマ閉じ込め多孔板兼モノシランインジェクタ260の中空部360内に、モノシランガスを均一化するための拡散板を設ければよい。
【0061】
図9及び図10に拡散板を設置した例を示す。図6に示すように、モノシランガスはチャンバ外部からプラズマ閉じ込め多孔板兼モノシランインジェクタ260の側面を通して供給されるため、中空部360内で均一化する前にモノシラン噴射孔121から噴射されやすくなっている。これを防ぐために、図9に示すように、中空部360に第1の拡散板330と第2の拡散板340とを設け、モノシランガスが均一化する時間を与えるとともに、拡散板に開口するモノシラン拡散通過孔350の開口分布を、図10に示すように面内均一とせず、中空部で均一化しやすい開口分布を持たせる。これによって、最終的にモノシラン噴射孔121から噴射される時点で面内均一な噴射を可能にする。なお、拡散板の数は2枚に限らず、1枚でも3枚以上でもよい。
【0062】
このように、プラズマ閉じ込め多孔板兼モノシランインジェクタ260にモノシランのプラズマ生成領域への逆流防止、プラズマ閉じ込め、及びモノシラン噴射の3つの役割を持たせることで、単純な構造のリモートプラズマCVD装置を用いた高品質な酸化シリコン膜の形成が可能となる。
【0063】
次に図11を参照して、リモートプラズマCVD装置の他の例について説明する。図11の装置構造は図6に示すプラズマ閉じ込め多孔板兼モノシランインジェクタ260を有するリモートプラズマCVD装置と類似しており、異なるのは、真空排気系が1系統でなく、2系統を有していることである。前述したように、真空排気系を基板側に1系統有する装置では、酸素ラジカル通過孔(貫通孔)の開口径と孔長が決まると、ある酸素ガス流量とある成膜領域圧力に対して、プラズマ生成領域の圧力は決まった値を持ってしまう。従って、プロセス条件の自由度が制限されてしまう。
【0064】
さらに、本発明では、多孔板の酸素ラジカル通過孔(貫通孔)の開口率や開口径を制限し、加えて、多孔板とチャンバ内壁との隙間を無くすことによって多孔板を境に、(被堆積基板側の圧力)>(プラズマ生成領域側の圧力)の関係をもつ圧力差を生じやすくさせている。この圧力差は、被堆積基板側圧力一定の下では、開口径を狭める程、孔長を長くする程、またプラズマ生成領域に供給する酸素の流量を多くするほど大きくなり、プラズマ生成領域側の圧力が高くなる。
【0065】
プラズマ生成領域側の圧力が過剰に高くなると、酸素プラズマ生成領域174中の平均自由行程が短くなるため、酸素プラズマ生成領域174中で生成した酸素原子、励起酸素原子、励起酸素分子、酸素分子、及びオゾンの内、励起酸素原子、励起酸素分子、酸素原子が消滅しやすくなり、成膜領域に供給される酸素ガス(ラジカル、励起種を含む)中で酸素分子の比率が高くなってしまう。
【0066】
酸素分子の比率の多い酸素ガス(ラジカル、励起種を含む)とモノシランの反応では、SiOxの重合生成物が形成されやすく、低密度の酸化シリコン膜となるだけでなく、膜成長表面での酸化も抑制されるため、SiHxやSiHxOy等が膜中に残されやすく、HやOHを多く含む、低品質の酸化シリコン膜が形成されてしまう。
【0067】
従って、図11に示す例では、酸素プラズマ生成領域の圧力値選択の自由度制限、並びに酸素プラズマ生成領域の圧力過剰上昇という点を解消するため、多孔板を境界としたプラズマ生成領域側及び多孔板を境とした被堆積基板側の両方に、独立した真空排気系を備えている。
【0068】
例えば、図11におけるプラズマ閉じ込め多孔板兼モノシランインジェクタ261が400mm×500mmの大きさであり、この多孔板中に開口径2mm、孔長10mmの円筒形の酸素ラジカル通過孔(貫通孔)193が100個開口されているとする。ここで、流量1SLMの酸素ガスをプラズマ生成領域に供給し、基板30が設置されている成膜領域の圧力が30Paになるように第2の真空排気280側で排気制御をし、第1の真空排気270を行わないとき、多孔板を境にして酸素プラズマ生成領域174側の圧力は58Pa程度になる。
【0069】
ここでさらに、酸素ガスの流量を3SLMとし、成膜領域の圧力が60Paになるように第2の真空排気280側の排気制御を行い、第1の真空排気270を行わないと、多孔板を境にして酸素プラズマ生成領域174側の圧力は100Paを超えてしまう。酸素プラズマ生成領域174が100Paを超えると、プラズマ領域で生成された酸素原子イオン、酸素分子イオン、電子、酸素原子、励起酸素原子、励起酸素分子、酸素分子、及びオゾン等の内、衝突によって消滅しやすい種が減少し、成膜領域に供給される酸素ガス(ラジカル、励起種を含む)中での励起酸素原子、励起酸素分子、酸素原子の比率が低くなり、酸素分子の比率は高くなって、上述したような問題が発生する。
【0070】
しかしながら、ここで、第1の真空排気270を作動させ、第2の真空排気と独立の制御を行うと、酸素プラズマ生成領域174の圧力を所望の圧力に調整することができる。例えば、上記の例で、酸素プラズマ生成領域ガスの流量を3SLM、成膜領域の圧力を58Paとした場合でも、酸素プラズマ生成領域174の圧力を70Pa等任意の圧力に設定することができる。
【0071】
酸化シリコン膜の形成方法は以下の通り。ここでは開口径2mmで長さ10mmの円筒形酸素ラジカル通過孔(貫通孔)が100個開口された400mm×500mmの多孔板を用いた例を示す。酸素ラジカル通過孔(貫通孔)同士の距離は、図2のDに相当する距離が約46mm、D''に相当する距離が約36mm、D'に相当する距離が約58mmである。真空排気されたCVDチャンバー内に、高周波印加電極11に設けられたガス供給孔を通して2SLMの流量で酸素ガス150を導入して、基板30付近の圧力が60Paになるように第2の真空排気280の排気能力を制御する。
【0072】
第1の真空排気270を用いない場合、プラズマ閉じ込め多孔板兼モノシランインジェクタ261を境にしてプラズマ生成領域側の圧力は約90Paとなるが、第1の真空排気270を用いて、プラズマ生成領域側の圧力を70Paに制御する。
【0073】
次に、高周波印加電極11に高周波電力を印加して酸素プラズマを形成する。酸素プラズマ生成領域174は電気的に接地されたプラズマ閉じ込め多孔板兼モノシランインジェクタ260によって高周波印加電極11との間に効率よく閉じ込められているため、酸素プラズマ生成領域174中でのプラズマ密度が10〜1010cm−3程度であるのに対し、プラズマ閉じ込め多孔板兼モノシランインジェクタ261と基板30の間の領域のプラズマ密度は10cm−3以下となっている。即ち、酸素プラズマ生成領域174中では電子、酸素原子イオン、酸素分子イオン、酸素原子、励起酸素原子、励起酸素分子、酸素分子、及びオゾン等が存在するが、プラズマ閉じ込め多孔板兼モノシランインジェクタ261と基板30の間の領域に達する電子及びイオンの量は無視できる程度である。
【0074】
従って、成膜領域に噴射されるモノシランガス140と反応して酸化シリコン膜成膜に寄与するのは、酸素原子、励起酸素原子、励起酸素分子、酸素分子、及びオゾンである。これらの励起種及びラジカルを含む酸素ガス210は酸素ラジカル通過孔(貫通孔)193を通って成膜領域に拡散し、プラズマ閉じ込め多孔板兼モノシランインジェクタ261から流量5SCCMで噴射されたモノシラン140と反応してSiO、SiO、SiH等の酸化シリコン前駆体を形成して、基板30上に酸化シリコン膜が形成されることになる。
【0075】
ここで、プラズマ閉じ込め多孔板兼モノシランインジェクタ261から噴射されたモノシラン140は、酸素ラジカル通過孔(貫通孔)193付近にも到達するが、多孔板を境にしてプラズマ生成領域側と成膜領域側では約10Paの圧力差が存在しているため、酸素ラジカル通過孔(貫通孔)193を通って酸素プラズマ生成領域174側へ通り抜けることはほとんどない。モノシランガスが直接プラズマ分解されることが抑制されているため、水素や励起水素が成膜領域での気相反応に寄与することはほとんどなく、形成される酸化シリコン膜中に含有されるHやOHの量も低く抑えられる。
【0076】
なお、図11中のHに相当する距離は100mmであり、前述の距離D、D'およびD''の2倍以上であるため、励起種およびラジカルを含む酸素ガス210や気相で形成された酸化シリコン前駆体の基板上面内分布は均一となり、基板30上に面内均質な酸化シリコン膜を形成することができる。モノシランガス140の基板面直上分布をさらに均一にする場合には、図10に示すプラズマ閉じ込め多孔板250のように、その中空部に拡散板を設ければよい。さらに、前述したように、プラズマ閉じ込め多孔板兼モノシランインジェクタ260と基板30の間のプラズマ密度は非常に低くなっているため、通常の平行平板プラズマCVDに比べて基板30へのプラズマダメージは非常に低くなっている。この効果は、基板表面がMOS界面を形成するシリコン表面の場合には顕著に現れ、通常の平行平板プラズマCVDで単結晶シリコン基板上に酸化シリコン膜を形成した場合にそのMOS界面準位密度がミッドギャップ付近で1011〜1012cm eV−1であるのに対し、本リモートプラズマCVDで酸化シリコン膜を形成した場合には1010cm−2eV−1台の低界面準位密度となる。
【0077】
以上の例においては、400mm×500mmの多孔板、または400mm×500mmのプラズマ閉じ込め多孔板、または400mm×500mmのプラズマ閉じ込め多孔板兼モノシランインジェクタに、開口径2mm、孔長10mmの円筒形の酸素ラジカル通過孔(貫通孔)を100個開口した例を中心に説明したが、開口径、開口長、開口数、多孔板形状などは本発明の特許請求の範囲に記載された範囲であれば、どのような値をとっても良い。例えば、400mm×500mmのプラズマ閉じ込め多孔板兼モノシランインジェクタに、開口径2mm、孔長5mmの円筒形の酸素ラジカル通過孔(貫通孔)を1500個開口したような構成でもよく、この構成では、流量1SLMの酸素ガスをプラズマ生成領域に供給し、成膜領域の圧力が30Paになるように真空排気制御をすると、多孔板を境に酸素プラズマ生成領域側の圧力は約38Pa程度になる。
【0078】
また以上の例において、モノシランの供給手法として、リング状モノシランインジェクタ及び面型の多孔板兼モノシランインジェクタを用いた例について説明したが、枠状インジェクタ、格子状インジェクタ、直線状インジェクタ等、どのような形態であってもよい。
【0079】
また、以上の例では、モノシランと酸素を用いた酸化シリコン膜形成を例にあげたが、モノシランの代わりにジシラン等の高次シランやTEOS(Tetraethoxysilane)等の液体Si原料等でもよく、酸素のかわりに亜酸化窒素、酸化窒素等を用いてもよい。
【0080】
さらに、酸化シリコン膜形成を例にあげてCVD成膜の説明をを行ったが、モノシランとアンモニアの反応による窒化シリコン膜形成、モノシランと希ガスまたは水素との反応による非晶室シリコン膜形成など、他の材料系のプラズマCVD成膜に関しても同様の効果を得ることができる。
【0081】
加えて、以上の例においては、誘導結合リモートプラズマCVD装置及び平行平板リモートプラズマCVD装置を用いた例について説明したが、プラズマ生成領域と基板処理領域とが空間的に隔離または分離されているプラズマCVD装置であれば、マイクロ波プラズマ、電子サイクロトロン共鳴プラズマ、誘導結合プラズマ、ヘリコン波プラズマを用いたプラズマCVD装置等、どのような形態の装置であっても適用される。
【0082】
【発明の効果】
以上説明したように、本発明では、リモートプラズマCVDにおいて、プラズマ分解を意図しないガスがプラズマ生成領域に逆流するのを抑制又は防止することができ、プラズマ分解を意図しないガスがプラズマ分解されることによって生ずる不純物が、形成する膜中に含まれるのを抑制又は防止することができるという効果がある。
【図面の簡単な説明】
【図1】本発明によるリモートプラズマCVD装置の第1の例を示す側面概略図である。
【図2】図1に示すリモートプラズマCVD装置で用いられる多孔板を示す平面概略図である。
【図3】酸素ガス(ラジカル、励起種を含む)の基板上面内分布を示す図である。
【図4】本発明によるリモートプラズマCVD装置の第2の例を示す側面概略図である。
【図5】本発明によるリモートプラズマCVD装置の第3の例を示す側面概略図である。
【図6】本発明によるリモートプラズマCVD装置の第4の例を示す側面概略図である。
【図7】中空構造のプラズマ閉じ込め多孔板の一例を示す側面概略図である。
【図8】図7に示す多孔板の上部板及び下部板を示す平面概略図である。
【図9】中空構造のプラズマ閉じ込め多孔板の他の例を示す側面概略図である。
【図10】図9に示す多孔板の第1及び第2の拡散板を示す平面概略図である。
【図11】本発明によるリモートプラズマCVD装置の第5の例を示す側面概略図である。
【図12】従来の平行平板リモートプラズマCVD装置の一例を示す側面概略図である。
【図13】図12に示すCVD装置の動作を示す側面概略図である。
【図14】従来の平行平板リモートプラズマCVD装置の他の例を示す側面概略図である。
【符号の説明】
10、11 高周波印加電極
20 基板サセプタ
30 基板
40 励起種及びラジカルを含む第1のガス
50、51 ラジカル通過孔
60 プラズマ
70 チャンバ壁
80、81、82 プラズマ閉じ込め電極
90 中性ガス噴射孔
100 第1のガス
110 第2のガス
120、121 モノシラン噴射孔
130、131、132、133 逆流するモノシラン
140 モノシランガス
150 酸素ガス
160 真空排気
170、171、172、173、174 酸素プラズマ生成領域
180 モノシランインジェクタ
190、191、192、193 酸素ラジカル通過孔(貫通孔)
200 リング状モノシランインジェクタ
210 励起種及びラジカルを含む酸素ガス
220 多孔板
230 アンテナ
240 誘電体窓
250 プラズマ閉じ込め多孔板
260、261 プラズマ閉じ込め多孔板兼モノシランインジェクタ
270 第1の真空排気
280 第2の真空排気
290 第1の真空計
300 第2の真空計
310 プラズマ閉じ込め多孔板上部板
320 プラズマ閉じ込め多孔板下部板
330 第1の拡散板
340 第2の拡散板
350 モノシラン拡散通過孔
360 中空部

Claims (11)

  1. 第1のガスのプラズマを形成するプラズマ生成領域が備えられ、前記プラズマ生成領域の外に被堆積基板を設置する基板保持機構と、前記プラズマ生成領域と前記被堆積基板との間で第2のガスを供給する供給手段とを有するプラズマCVD装置において、
    前記プラズマ生成領域と前記供給手段との間には複数の貫通孔が形成された多孔板が配置されており、前記貫通孔の開口率が5%以下であり、前記貫通孔間の距離が、前記多孔板と前記被堆積基板との距離よりも小さく、且つ、
    前記プラズマ生成領域には高周波印加電極が設けられていると共に、前記多孔板が、前記高周波印加電極よりも被堆積基板側に設けられ、電気的に接地された金属製プラズマ閉じ込め電極となっていることを特徴とするプラズマCVD装置。
  2. 前記多孔板はプラズマCVD装置チャンバ内壁との間に隙間を有することなく配置されていることを特徴とする請求項1に記載のプラズマCVD装置。
  3. 前記多孔板の各貫通孔の直径は3mm以下であることを特徴とする請求項1に記載のプラズマCVD装置。
  4. 前記多孔板には前記貫通孔と独立した中空部が形成されるとともに前記中空部に連通して前記被堆積基板に向かって開口された噴射孔が形成されており、前記貫通孔と独立した中空部には、前記第2のガスが通過可能な開口を有する拡散板が設けられていることを特徴とする請求項1乃至3のいずれか一項に記載のプラズマCVD装置。
  5. 前記多孔板を境界とする前記プラズマ生成領域側の領域と前記多孔板を境界とする前記被堆積基板側の領域とにはそれぞれ独立した圧力調整機構が配置され、前記プラズマ生成領域と前記多孔板を境に前記被堆積基板側の空間とをそれぞれ所望の圧力に排気制御可能に構成されていることを特徴とする請求項1乃至4のいずれか一項に記載のプラズマCVD装置。
  6. 前記第1のガスを酸素原子を含むガスとし、前記第2のガスをシリコン原子及び水素原子を含むガスとして、請求項1乃至5のいずれか一項に記載のプラズマCVD装置を用いて前記被堆積基板上に酸化シリコン膜を形成するようにしたことを特徴とする膜形成方法。
  7. 請求項1乃至5のいずれか一項に記載のプラズマCVD装置を用い、前記多孔板を境界とする前記被堆積基板側の領域よりも前記多孔板を境界とする前記プラズマ生成領域側の方がその圧力が高い状態で、前記被堆積基板上に成膜処理を行うようにしたことを特徴とする膜形成方法。
  8. 前記第1のガスを酸素原子を含むガスとし、前記第2のガスをシリコン原子及び水素原子を含むガスとしたことを特徴とする請求項7に記載の膜形成方法。
  9. 第1のガスのプラズマを形成するプラズマ生成領域が備えられ、前記プラズマ生成領域の外に被堆積基板を設置する基板保持機構と、前記プラズマ生成領域と前記被堆積基板との間で第2のガスを供給する供給手段とを有するプラズマCVD装置において、前記プラズマ生成領域と前記供給手段との間には複数の貫通孔が形成された多孔板が電極として配置されており、前記貫通孔の開口率が5%以下であり、前記貫通孔間の距離が、前記多孔板と前記被堆積基板との距離よりも小さく、且つ、前記プラズマ生成領域に比べ前記多孔板を介した前記被堆積基板側の領域におけるプラズマ密度が小さいことを特徴とするプラズマCVD装置。
  10. 請求項9において、前記プラズマ生成領域に比べ、前記多孔板を介した前記被堆積基板側の領域におけるプラズマ密度が2桁以上小さいことを特徴とするプラズマCVD装置。
  11. 請求項10において、前記被堆積基板側の領域におけるプラズマ密度が10cm−3以下であることを特徴とするプラズマCVD装置。
JP2000196619A 2000-06-29 2000-06-29 リモートプラズマcvd装置及び膜形成方法 Expired - Lifetime JP4371543B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000196619A JP4371543B2 (ja) 2000-06-29 2000-06-29 リモートプラズマcvd装置及び膜形成方法
US09/820,149 US6851384B2 (en) 2000-06-29 2001-03-28 Remote plasma apparatus for processing substrate with two types of gases
US10/978,150 US7392759B2 (en) 2000-06-29 2004-10-29 Remote plasma apparatus for processing substrate with two types of gases
US11/620,518 US7709063B2 (en) 2000-06-29 2007-01-05 Remote plasma apparatus for processing substrate with two types of gases
US12/726,187 US20100170442A1 (en) 2000-06-29 2010-03-17 Remote plasma apparatus for processing substrate with two types of gases

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000196619A JP4371543B2 (ja) 2000-06-29 2000-06-29 リモートプラズマcvd装置及び膜形成方法

Publications (2)

Publication Number Publication Date
JP2002016056A JP2002016056A (ja) 2002-01-18
JP4371543B2 true JP4371543B2 (ja) 2009-11-25

Family

ID=18695079

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000196619A Expired - Lifetime JP4371543B2 (ja) 2000-06-29 2000-06-29 リモートプラズマcvd装置及び膜形成方法

Country Status (2)

Country Link
US (4) US6851384B2 (ja)
JP (1) JP4371543B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105899709A (zh) * 2014-01-10 2016-08-24 艾克斯特朗欧洲公司 Cvd反应器的具有重量减小的排气板的进气机构

Families Citing this family (562)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP3837718B2 (ja) * 2002-03-12 2006-10-25 キヤノンアネルバ株式会社 Cvd装置及びcvd装置における成膜後の後処理工程を行う方法
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
WO2004005959A1 (en) * 2002-07-08 2004-01-15 Saab Marine Electronics Ab Level gauging system
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
JP4051619B2 (ja) * 2002-09-17 2008-02-27 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
KR100810794B1 (ko) * 2002-11-20 2008-03-07 동경 엘렉트론 주식회사 플라즈마 처리 방법
KR100883696B1 (ko) * 2002-11-20 2009-02-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20070184671A1 (en) * 2003-05-30 2007-08-09 Showa Denko K.K. Method for production of group lll nitride semiconductor device
WO2004111297A1 (ja) * 2003-06-10 2004-12-23 Tokyo Electron Limited 処理ガス供給機構、成膜装置および成膜方法
JP4342853B2 (ja) 2003-07-01 2009-10-14 独立行政法人科学技術振興機構 基板上への窒化物薄膜の成長方法及び窒化物薄膜装置
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20090011150A1 (en) * 2004-08-04 2009-01-08 Hyeong-Tag Jeon Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
JP2006114614A (ja) * 2004-10-13 2006-04-27 Canon Anelva Corp プラズマ処理装置および方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060185590A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8821683B2 (en) * 2005-04-28 2014-09-02 Tokyo Electron Limited Substrate processing apparatus and method, and program and storage medium
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2007088199A (ja) * 2005-09-22 2007-04-05 Canon Inc 処理装置
DE102005049266B4 (de) * 2005-10-14 2007-12-06 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Plasmabehandlung von Objekten
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080113107A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for containment shielding during pecvd deposition processes
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
CN101542694A (zh) 2007-02-09 2009-09-23 佳能安内华股份有限公司 氧化方法和氧化装置
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US20090000738A1 (en) * 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
JP5158084B2 (ja) * 2007-08-31 2013-03-06 東芝三菱電機産業システム株式会社 誘電体バリア放電ガスの生成装置
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
JP2009177088A (ja) * 2008-01-28 2009-08-06 Tokyo Electron Ltd 基板処理装置
KR100999583B1 (ko) * 2008-02-22 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
KR100963287B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101063763B1 (ko) * 2009-01-22 2011-09-08 서울대학교산학협력단 플라즈마 발생 시스템
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5517509B2 (ja) * 2009-07-08 2014-06-11 三菱重工業株式会社 真空処理装置
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9004006B2 (en) * 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8471476B2 (en) 2010-10-08 2013-06-25 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
GB201021870D0 (en) * 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
KR101279353B1 (ko) * 2011-03-10 2013-07-04 (주)제이하라 플라즈마 발생장치
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TW201239130A (en) * 2011-03-16 2012-10-01 I-Nan Lin Microwave plasma system
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
CN102746524A (zh) * 2011-04-22 2012-10-24 苏州市奥普斯等离子体科技有限公司 一种材料表面低温等离子体改性方法及其装置
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
CN103782663B (zh) * 2011-09-08 2016-05-11 东芝三菱电机产业***株式会社 等离子体产生装置、cvd装置及等离子体处理粒子生成装置
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US20130284093A1 (en) * 2012-04-30 2013-10-31 Semes Co., Ltd. Substrate treating apparatus
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP6172660B2 (ja) * 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US10020187B2 (en) * 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
US8980763B2 (en) * 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP5432395B1 (ja) 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及び成膜方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
KR102053350B1 (ko) * 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
KR102167594B1 (ko) 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
CN103806093B (zh) * 2014-02-17 2017-01-18 清华大学 基于icp的化合物半导体的外延生长装置及方法
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN103938272A (zh) * 2014-04-03 2014-07-23 清华大学 等离子体辅助的外延生长装置及方法
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
WO2016002591A1 (ja) * 2014-07-03 2016-01-07 東京エレクトロン株式会社 成膜装置
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US10672616B2 (en) * 2014-12-25 2020-06-02 Tokyo Electon Limited Plasma processing apparatus and plasma processing method
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9570289B2 (en) * 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9478399B2 (en) * 2015-03-27 2016-10-25 Varian Semiconductor Equipment Associates, Inc. Multi-aperture extraction system for angled ion beam
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6624833B2 (ja) * 2015-07-31 2019-12-25 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110499497B (zh) * 2019-08-26 2021-09-21 南方科技大学 二氧化钛纳米薄膜制备方法及二氧化钛纳米薄膜
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN114762082A (zh) * 2019-11-01 2022-07-15 应用材料公司 表面被覆材料层
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210061846A (ko) * 2019-11-20 2021-05-28 삼성전자주식회사 기판 처리 장치 및 이를 이용한 반도체 소자의 제조 방법
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN112163392B (zh) * 2020-08-24 2023-05-19 中国电子科技集团公司第二十九研究所 一种封装基板加工文件的自动生成方法、介质及设备
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023057215A (ja) * 2021-10-11 2023-04-21 国立研究開発法人産業技術総合研究所 窒素化合物の製造方法及び製造装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0763056B2 (ja) * 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
US5204144A (en) * 1991-05-10 1993-04-20 Celestech, Inc. Method for plasma deposition on apertured substrates
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5212118A (en) * 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
JP2601127B2 (ja) 1993-03-04 1997-04-16 日新電機株式会社 プラズマcvd装置
TW293983B (ja) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
JPH0845858A (ja) 1994-07-27 1996-02-16 Sony Corp プラズマ処理装置
JP3353514B2 (ja) 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH08194942A (ja) 1995-01-17 1996-07-30 Matsushita Electric Ind Co Ltd プラズマcvd製膜法およびこれによって作製される磁気記録媒体
US5922959A (en) * 1996-10-15 1999-07-13 Currency Systems International Methods of measuring currency limpness
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
JP3161394B2 (ja) * 1997-12-03 2001-04-25 日本電気株式会社 プラズマcvd装置
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105899709A (zh) * 2014-01-10 2016-08-24 艾克斯特朗欧洲公司 Cvd反应器的具有重量减小的排气板的进气机构
CN105899709B (zh) * 2014-01-10 2019-12-24 艾克斯特朗欧洲公司 Cvd反应器的具有重量减小的排气板的进气机构

Also Published As

Publication number Publication date
US20070110918A1 (en) 2007-05-17
US7392759B2 (en) 2008-07-01
US20050087140A1 (en) 2005-04-28
US7709063B2 (en) 2010-05-04
US20020000202A1 (en) 2002-01-03
US6851384B2 (en) 2005-02-08
JP2002016056A (ja) 2002-01-18
US20100170442A1 (en) 2010-07-08

Similar Documents

Publication Publication Date Title
JP4371543B2 (ja) リモートプラズマcvd装置及び膜形成方法
JP4382265B2 (ja) 酸化シリコン膜の形成方法及びその形成装置
KR100417011B1 (ko) 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치
JP3164019B2 (ja) 酸化シリコン膜およびその形成方法と成膜装置
US20190057857A1 (en) Method for forming silicon nitride film selectively on sidewalls of trenches
KR100416027B1 (ko) 플라즈마 cvd 장치 및 플라즈마 cvd 방법
JP4418027B2 (ja) 真空処理装置
KR100538422B1 (ko) 금속막, 금속막 제조 방법 및 금속막 제조 장치
US7238616B2 (en) Photo-assisted method for semiconductor fabrication
JP2002212732A (ja) Cvd装置のクリーニング方法
JP2009054996A (ja) 表示装置の作製方法
JP3682178B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP4890012B2 (ja) プラズマcvd装置
TW202104650A (zh) 在處理腔室內的電漿緻密化
JP3590451B2 (ja) 絶縁膜の作成方法
JP2630089B2 (ja) マイクロ波プラズマ処理装置
JP3837718B2 (ja) Cvd装置及びcvd装置における成膜後の後処理工程を行う方法
US11682554B2 (en) Catalytic thermal deposition of carbon-containing materials
KR102146793B1 (ko) 기판 처리 장치
JP2006012962A (ja) 斜め貫通孔付真空紫外光遮光板を用いたマイクロ波プラズマ処理装置及び処理方法
JPH07201813A (ja) 半導体装置の製造方法およびその製造装置
CN116635570A (zh) 减轻应力引发的缺陷的碳cvd沉积方法
JPH04297033A (ja) 窒化シリコン膜の形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070508

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070802

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081023

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090119

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090325

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090430

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090812

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090901

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120911

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4371543

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130911

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term