TWI544548B - 形成使用氧化物襯墊的可流動介電質之方法 - Google Patents

形成使用氧化物襯墊的可流動介電質之方法 Download PDF

Info

Publication number
TWI544548B
TWI544548B TW099145306A TW99145306A TWI544548B TW I544548 B TWI544548 B TW I544548B TW 099145306 A TW099145306 A TW 099145306A TW 99145306 A TW99145306 A TW 99145306A TW I544548 B TWI544548 B TW I544548B
Authority
TW
Taiwan
Prior art keywords
substrate
nitrogen
precursor
plasma
oxygen
Prior art date
Application number
TW099145306A
Other languages
English (en)
Other versions
TW201131652A (en
Inventor
梁靜美
英戈爾尼廷K
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201131652A publication Critical patent/TW201131652A/zh
Application granted granted Critical
Publication of TWI544548B publication Critical patent/TWI544548B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

形成使用氧化物襯墊的可流動介電質之方法
本發明係關於製造半導體的方法。
自從數十年前引進了半導體裝置,半導體裝置的幾何結構在尺寸上已顯著地減小。當代半導體製造設備常規地生產具有45nm、32nm及28nm之特徵結構尺寸的裝置,並且正在發展及實行新的設備來製造具有甚至更小幾何結構的裝置。減小的特徵結構尺寸造成裝置上之結構性特徵結構具有減小的空間尺寸。裝置上之間隙及溝槽的寬度窄化至間隙深度與其寬度的深寬比變得高到足以使用介電材料來填充間隙受到挑戰的程度。在完全填充間隙之前,沉積介電材料傾向會堵塞在頂部,因而在間隙的中間產生孔隙及縫隙。
歷經多年,已經發展出許多技術來防止介電材料堵塞在間隙的頂部,或「修復(heal)」已形成的孔隙及縫隙。已經啟用高度可流動之前驅物材料的方法,其中高度可流動之前驅物可以液相施加至旋塗基材表面(例如,SOG沉積技術)。這些可流動前驅物可流至非常小的基材間隙中並填充非常小的基材間隙,而不會形成孔隙或鬆軟縫隙。然而,一旦沉積這些高度可流動材料之後,必須將其硬化成固體介電材料。
在許多例子中,硬化製程包括熱處理,以自沉積材料移除碳及羥基而留下諸如氧化矽的固體介電材料。不幸地,碳及羥基物種的離開通常會在硬化介電質中留下孔洞,而降低最終材料的品質。此外,硬化介電質亦傾向縮減體積,其可能在介電質與周圍基材的介面處留下裂縫或間隔。在一些例子中,硬化介電質的體積可能減小40%或更多。
因此,需要在結構基材上形成介電材料而不會在基材間隙或溝槽中產生孔隙、縫隙或兩者的新穎沉積製程及材料。也需要以較少孔洞及較少體積減小來硬化可流動介電材料的材料與方法。在本發明中實現此項及其他的需求。
本發明描述形成氧化矽層的方法。本方法可包括以下步驟:混合不含碳之含矽前驅物與氮自由基前驅物,以及沉積含矽及氮層至基材上。藉由流動含氫及氮前驅物至電漿中以在電漿中形成氮自由基前驅物。在沉積含矽及氮層之前,形成氧化矽襯墊層以改善含矽及氮層的附著力、平滑性及可流動性。含矽及氮層可藉由固化及退火該薄膜而轉化為含矽及氧層。本方法亦包括在施加一旋塗式含矽材料之前形成氧化物襯墊層。
本發明實施例包括在基材上形成可流動介電層的方法。該方法包括以下步驟:(1)當基材維持在襯墊沉積溫度時,藉由將基材暴露至含矽襯墊前驅物及含氧襯墊前驅物,以在基材上形成大體上共形之氧化矽襯墊層;以及(2)當基材維持在整體沉積溫度時,在基材上形成不含碳之可流動含矽-氮及氫層。
本發明的其他實施例及特徵結構係部份闡述於下文的實施方式,且部份對熟習此技藝之人士而言可基於檢視本說明書而為顯而易見,或可藉由實施本發明來瞭解。可藉由說明書中描述的工具構件、組合物、及方法來理解及達成本發明的特徵結構及優點。
本發明描述形成氧化矽層的方法。本方法可包括以下步驟:混合不含碳之含矽前驅物與氮自由基前驅物,以及在基材上沉積含矽及氮層。藉由流動含氫及氮前驅物至電漿中以在電漿中形成氮自由基前驅物。在沉積含矽及氮層之前,形成氧化矽襯墊層以改善含矽及氮層的附著力、平滑性及可流動性。含矽及氮層可藉由固化及退火該薄膜而轉化為含矽及氧層。本方法亦包括在施加一旋塗式含矽材料之前形成氧化物襯墊層。
在相繼的處理期間及之後,在基材與含矽及氮層之間引入氧化物襯墊層明顯可改良附著力並減低分層及破裂的發生。根據本揭示實施例使用氧化矽襯墊形成的氧化矽薄膜亦展現較平滑的外表面,顯示其在動態沉積(deposition dynamic)中的修飾。在不將本發明之申請專利範圍結合至可能完全正確或可能完全不正確之理論機構的前提下,矽醇基可存在於氧化矽襯墊層的暴露表面上並可用來增加遷移性,因而增加含矽及氮層的初期(nascent)可流動性。包括氧化矽層的其他優勢包含在氧化矽上有更快速的初始生長速率、在一些應用中有更通用的下方材料。其他優勢也包括容許上方層相對於下方基材的收縮與膨脹。在沉積了多層之後,含矽及氮層可在含氧環境中固化及(或)退火以將該層轉化為氧化矽。
現將描述關於形成氧化矽層之方法與系統的其他細節。
範例氧化矽形成製程
第1圖示出根據本發明實施例製造氧化矽薄膜之方法100之選擇步驟的流程圖。方法100包括使用順流式TEOS及臭氧(O3)在基材上沉積氧化矽襯墊層(操作101)。如本文中描述的其他襯墊沉積,在本發明實施例中,沉積期間的基材溫度係大於400℃、大於500℃、及大於600℃。為了確保沉積物更可流動及平滑,可添加諸如水(H2O,水蒸氣)、HMDS及TMDSO的添加物至TEOS及臭氧(O3)中。基材相對於前驅物組成沉積的相對高溫接著有利於在惰性表面(例如氮化矽)上的沉積。隨後,襯墊呈現一氧化矽表面,其相對於低溫沉積有較低的惰性及較高的導電性。此等諸如次大氣壓CVD(SACVD)的沉積製程為此領域中所熟知,但也可在超過1atm的壓力下實行。在不同實施例中,TEOS的範例流速可為大於0.1gm/min(公克每分鐘)、大於0.5gm/min、大於1 gm/min以及大於3 gm/min。在不同實施例中,可以大於1000sccm、大於3000sccm、大於10000sccm或大於30000sccm的流速流動臭氧。可使用相對惰性的載氣將TEOS及選擇性添加物傳遞至基材,且載氣的質量不包括在上述的傳遞速率(gm/min)。
本方法持續進行且包括提供不含碳之含矽前驅物至基材處理區域(操作102)。不含碳之矽前驅物可為(例如)矽及氮前驅物、矽及氫前驅物、或含矽-氮及氫前驅物、以及其他類型的含矽前驅物。除了不含碳之外,矽前驅物可為不含氧。氧的缺乏導致在從前驅物形成之矽及氮層中有較低濃度的矽醇(Si-OH)基。沉積薄膜中過量的矽醇成份(moieties)會在自沉積層移除羥基(-OH)成份的後沉積步驟期間致使孔隙度及縮減增加。
不含碳之含矽前驅物的特定範例可包括矽烷胺,例如H2N(SiH3)、HN(SiH3)2及N(SiH3)3,以及其他矽烷胺。在不同實施例中,矽烷胺的流速可大於或約200sccm、大於或約300sccm、或大於或約500sccm。所有在本文中給定的流速係用在一雙重腔室基材處理系統。單一晶圓系統將需要這些流速的一半,以及其他晶圓尺寸的流速將需要乘上處理區域來調整大小。這些矽烷胺可混合作為載氣、反應氣體或兩者的添加氣體。這些添加氣體的範例可包括H2、N2、NH3、He及Ar,及其他氣體。不含碳之含矽前驅物的範例亦可單獨包括矽烷(SiH4)或混合其他含矽(例如,N(SiH3)3)、氫(例如,H2)、及(或)氮(例如,N2、NH3)之氣體的矽烷。不含碳之含矽前驅物也可單獨包括二矽烷、三矽烷、甚至是更高序列的矽烷、及氯化矽烷或結合彼此,其係單獨的或彼此結合,或先前提及之不含碳的含矽前驅物。不含碳之含矽前驅物在進入無電漿基材處理區域之前並未在電漿區域(例如,遠端電漿區域)中激發。
氨(NH3)係傳遞至電漿區域以形成氮自由基前驅物(操作104)。氮自由基前驅物為含氮自由基的前驅物,其在基材處理區域外側之電漿區域中由氨產生。例如,含有NH3之穩定的氮前驅物化合物可在腔室電漿區域或在處理腔室外側的遠端電漿系統(RPS)中被活化,以形成氮自由基前驅物,其隨後被輸送至基材處理區域(操作106)。在不同實施例中,氨的流速可大於或約300sccm、大於或約500sccm、或大於或約700sccm,同時可包括諸如氮(N2)及氫(H2)之額外前驅物以調整氮:氫的原子流量比。也可在不使用NH3的情況下產生氮自由基前驅物。在本發明實施例中,流入遠端電漿區域中的穩定氮前驅物可包括H2、N2及N2H4中的一或多者。在腔室電漿區域產生之氮自由基前驅物可為N、NH、NH2等中的一或多者,並可伴隨電漿中形成的離子物種。
在採用腔室電漿區域的實施例中,氮自由基前驅物在從基材處理區域分隔出之基材處理系統的區段中產生,其中前驅物在基材處理區域混合並反應以在沉積基材(例如,半導體晶圓)上沉積矽及氮層。氮自由基前驅物也可伴隨諸如氦、氬等的載氣。在含矽及氮層的生長期間及低溫臭氧固化期間,基材處理區域在本文可描述為「無電漿(plasma-free)」。「無電漿」並非代表區域中完全沒有電漿。在電漿區域中產生的離子化物種確實穿過隔板(噴淋頭)中之孔洞(穿孔),但不含碳之含矽前驅物並未實質上藉由施加至電漿區域之電漿功率所激發。腔室電漿區域中之電漿的邊界係難以界定,且電漿可能經由噴淋頭中的穿孔侵入基材處理區域。在感應耦合電漿的例子中,可在基材處理區域中直接地引起少量的離子化。再者,可在基材處理區域中產生低強度的電漿,而不消除所形成薄膜的可流動特性。在氮自由基前驅物的產生期間,於基材處理區域中具有遠低於腔室電漿區域之離子密度的電漿並未偏離本文所述「無電漿」的範疇。
在基材處理區域中,不含碳之含矽前驅物及氮自由基前驅物混合並反應,以在沉積基材上形成含矽及氮薄膜(操作108)。經沉積之含矽及氮薄膜可使用一些在沉積表面處致使低沉積速率或高氮自由基通量的配方組合(recipe combination)而共形(conformally)沉積。在其他實施例中,經沉積之含矽及氮薄膜具有不同於習知氮化矽(Si3N4)薄膜沉積技術的可流動特性。所形成的可流動特性允許薄膜流入基材之沉積表面上的窄間隙溝槽以及其他結構中。在不同實施例中,沉積期間(操作108)之基材溫度小於120℃、小於100℃、小於80℃、及小於60℃。
可流動性係可歸因於由於混合氮自由基前驅物與未激發之不含碳之矽前驅物的各種性質。這些性質可包括在沉積薄膜中的顯著氫組成及(或)短鏈聚矽氮烷聚合物的存在。在薄膜的形成期間及之後,這些短鏈生長且連結成網絡以形成更緻密的介電材料。舉例而言,沉積薄膜可具有矽氮烷類型,Si-NH-Si主鏈(backbone)(亦即,Si-N-H薄膜)。當矽前驅物及氮自由基前驅物皆為不含碳,沉積之含矽及氮薄膜亦實質上為不含碳。當然,「不含碳」並非代表薄膜甚至連微量的碳也沒有。碳污染物可能存在於前驅物材料中,其自己找到路徑進入沉積之矽及氮前驅物中。然而,這些碳不純物的量係遠小於將在具有碳成份(例如,TEOS、TMDSO等)之矽前驅物中(例如,在操作101中生長的襯墊層)所發現的量。
在含矽及氮層的沉積之後,沉積基材可在含氧大氣中固化及(或)退火(操作110)。固化可在含臭氧的大氣中於低於或約400℃的基材溫度下發生。在本發明實施例中的一些情況下(例如,基材溫度介於約100℃至約200℃),已發現可實質上完全地轉化,使得在含氧環境中的相對高溫退火可為非必要的。在固化含矽及氮層之後,可能期望在含氧大氣中退火基材,以進一步將薄膜轉化為氧化矽。含氧大氣可包含一或多種含氧氣體,例如氧分子(O2)、臭氧(O3)、水蒸氣(H2O)、過氧化氫(H2O2)及氮氧化物(NO、NO2、N2O等)、以及其他含氧氣體。含氧大氣可包括氧自由基及羥基物種,例如氧原子(O)、氫氧根(OH)等,其可遠端產生並輸送至基材腔室中。也可存有含氧物種的離子。基材的氧退火溫度可介於約500℃至約1100℃之間。當使用電漿時,其可能位在基材處理區域中、位於噴淋頭所分隔的分隔區域中或位於遠端電漿系統(RPS)中。
固化及氧退火的含氧大氣皆提供氧以將含矽及氮薄膜轉化為氧化矽(SiO2)薄膜。如先前所示,含矽及氮薄膜中缺乏碳造成最終氧化矽薄膜中形成顯著較少的孔洞。此舉在轉化為氧化矽的期間也導致薄膜的體積下降(亦即,縮減)較少。例如,當由含碳之矽前驅物與氮自由基形成的矽-氮-碳層轉化為氧化矽時,可能會減縮40體積%或更高,而實質上不含碳之矽及氮薄膜可能減縮約17體積%或更少。
現參照第2圖,其示出根據本發明實施例圖示在基材間隙中形成氧化矽薄膜之方法200之選擇步驟的另一流程圖。方法200包括在圖案化基材上沉積氧化矽襯墊,其中該基材具有形成在基材上之裝置部件(例如電晶體)之空間及結構中的間隙。間隙可具有界定高度與寬度(亦即H/W)之高寬比(AR)的高度及寬度,高寬比顯著大於1:1(例如5:1或更高、6:1或更高、7:1或更高、8:1或更高、9:1或更高、10:1或更高、11:1或更高、12:1或更高等)。在諸多實施例中,高AR係歸因於小間隙寬度,其範圍自約90nm至約22nm或更小(約90nm、65nm、45nm、32nm、22nm、16nm等)。
使用TEOS與氧(O2)的順流式流動來沉積氧化矽襯墊(操作201)。基材溫度可相同於上述參照第1圖的實施例,且可為相同目的添加相同的添加物。相對高的沉積溫度允許該沉積可較未試圖使用襯墊層的自由基組成沉積而更快地進行。襯墊呈現一氧化矽表面,其相對於低溫沉積有較低的惰性及更高的導電性。此等諸如次大氣壓CVD(SACVD)的沉積製程為此領域中所熟知,但也可在超過1atm的壓力下實行。在不同實施例中,TEOS的範例流速可為大於0.1gm/min(公克每分鐘)、大於0.5gm/min、大於1 gm/min以及大於3 gm/min。在不同實施例中,可以大於3000sccm、大於10000sccm、大於30000sccm或大於60000sccm的流速來流動氧。使用相對惰性的載氣將TEOS及選擇性添加物傳遞至基材,且載氣的質量不包括在上述的傳遞速率(gm/min)。
隨後,基材傳送至基材處理區域(操作202),且氨(NH3)在分隔的腔室電漿區域中被激發,以形成氮自由基前驅物(操作204)。腔室電漿區域中的電漿產生流經噴淋頭中之穿孔的氮自由基前驅物,噴淋頭將腔室電漿區域從基材處理區域分隔出。不含碳之含矽前驅物係在基材處理區域中與氮自由基前驅物混合(操作206)。可流動之含矽及氮層係沉積在基材上(操作208)。因為層係可流動,其可填充具有高度高寬比的間隙,而不會在填充材料的中心附近產生孔隙或鬆軟縫隙。例如,沉積之可流動材料較不易在其完全填充之前過早堵塞間隙的頂部,而在間隙的中間留下孔隙。基材溫度係低於上述參照第1圖之實施例所討論的溫度。
隨後,經沉積之含矽及氮層可在含臭氧大氣中固化及(或)在含氧大氣中退火(操作210),以將含矽及氮層轉換成氧化矽。為了緻密化氧化矽層,可在較高基材溫度下於惰性環境中執行進一步退火(未圖示)。在含氧大氣中固化及退火經沉積之含矽及氮層在基材上(包括基材間隙)形成氧化矽層。在實施例中,操作210的製程參數擁有參照第1圖描述的相同範圍。如上所述,氧化矽層相較於使用含碳前驅物形成的相似層(在熱處理步驟之前具有顯著量的碳存在於層中),具有較少的孔洞及較少的體積下降。在許多例子中,體積下降是稍足以(例如約15體積%或更少)避免進行後熱處理步驟來填充、修復或其他消除因縮減氧化矽而形成在間隙中的空間。
範例氧化矽沉積系統
可實行本發明實施例之沉積腔室可包括高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿增強化學氣相沉積(PECVD)腔室、次大氣壓化學氣相沉積(SACVD)腔室,以及熱化學氣相沉積腔室,與其他類型的腔室。可執行本發明實施例之CVD系統的特定範例包括CENTURA ULTIMA HDP-CVD腔室/系統,及PRODUCERPECVD腔室/系統,其可購自美國加州聖塔克拉拉應用材料公司。
可用於本發明之範例方法之基材處理腔室的範例可包括圖示及描述於共同受讓予Lubomirsky等人之美國臨時專利申請案60/803,499,申請日為2006年5月30日,及篇名為“PROCESS CHAMBER FOR DIELECTRIC GAPFILL”,其全文併入本文作為參考。其他的範例系統可包括圖示及描述於美國專利號6,387,207及6,830,624的系統,其全文併入本文作為參考。
沉積系統的實施例可併入到用於生產積體電路晶片的更大的製造系統。第3圖示出根據所揭示實施例包括沉積、烘烤及固化腔室的此一系統300。在此圖中,一對的FOUP(front opening unified pod,前開口式整合容器)302供應基材(例如300mm直徑的晶圓),其中基材是藉由機械臂304接收並在放入晶圓處理腔室308a-f之一者之前放入低壓固持區306。第二機械臂310可用來自固持區306將基材晶圓輸送至處理腔室308a-f及返回。
處理腔室308a-f可包括一或多個系統部件,其用於沉積、退火、固化及(或)蝕刻在基材晶圓上的可流動介電薄膜。在一配置中,兩對處理腔室(例如,308c-d及308e-f)可用來沉積可流動介電材料至基材上,且第三對處理腔室(例如,308a-b)可用來退火經沉積的介電質。在另一配置中,相同的兩對處理腔室(例如,308c-d及308e-f)可經配置以同時在基材上沉積及退火可流動介電薄膜,同時第三對腔室(例如,308a-b)可用於UV或電子束(E-beam)固化經沉積之薄膜。在又另一配置中,全部三對腔室(例如308a-f)可經配置以在基材上沉積及固化可流動介電薄膜。在又另一配置中,兩對處理腔室(例如,308c-d及308e-f)可用於同時沉積及UV或電子束固化可流動介電質,同時第三對處理腔室(例如,308a-b)可用於退火介電薄膜。在不同實施例中,所述的任何一或多個製程可在分隔自所示之製造系統的一或多個腔室中執行。
此外,處理腔室308a-f中的一或多個可經配置為濕式處理腔室。這些處理腔室包括在含有濕氣的大氣中加熱可流動介電薄膜。因此,系統300的實施例可包括溼式處理腔室308a-b及退火處理腔室308c-d以在經沉積之介電薄膜上實行溼式及乾式退火。
氧化矽襯墊層可沉積在一或多個經配置以透過次大氣化學氣相沉積(SACVD)而沉積襯墊層的腔室中。也可使用其他方式來描述涉及將基材暴露至TEOS或臭氧或TEOS與氧(O2)之組合的相對高壓製程。此等系統亦可購自美國加州聖塔克拉拉美商應用材料公司。在不同實施例中,氧化矽襯墊層厚度可小於100埃、小於75埃、小於50埃及小於25埃。在沉積氧化矽襯墊層之後,基材可輸送至描述於第4圖之自由基組成的CVD腔室。或者,也可應用旋塗介電質(SOD)、旋塗玻璃(SOG)、或其他旋塗含矽薄膜的方法。旋塗可流動材料將展現較小的分層及破裂。含矽及氮的SOD薄膜將展現本發明實施例的這些優點。
第4A圖為根據所述實施例的基材處理腔室400。遠端電漿系統(RPS)410可處理隨後經過氣體入口組件411的氣體。在氣體入口組件411中可看到兩個分離的氣體供應通道。第一通道412攜帶通過遠端電漿系統RPS 410的氣體,同時第二通道413旁通RPS 400。在所揭示的實施例中,第一通道402可用於製程氣體,以及第二通道413可用於處理氣體。圖示之頂蓋(或導電頂部)421及穿孔隔板453具有絕緣環424位於其間,此可使AC電位相對於穿孔隔板453施加至頂蓋421。製程氣體經過第一通道412進入腔室電漿區域420,並可藉由腔室電漿區域420(單獨或結合RPS 410)中之電漿來激發。腔室電漿區域420及(或)RPS 410的組合可代表本文的遠端電漿系統。穿孔隔板(亦稱為噴淋頭)453將腔室電漿區域420與噴淋頭453下方的基材處理區域470分隔。噴淋頭453允許電漿存在於腔室電漿區域420中,以防止直接激發基材處理區域470中的氣體,同時仍允許經激發的物種從腔室電漿區域420進入基材處理區域470。
噴淋頭453定位在腔室電漿區域420及基材處理區域470之間,並允許在腔室電漿區域420中產生的電漿排放物(前驅物或其他氣體的激發衍生物)通過複數個通孔456,其中通孔456穿過板的厚度。噴淋頭453也具有一或多個中空體積451,中空體積451可被填充以蒸氣或氣體(例如含矽前驅物)形式的前驅物並通過小孔455而進入基材處理區域470,而不直接進入腔室電漿區域420。在所揭示的實施例中,噴淋頭453較通孔456之最小直徑450的長度來得厚。為了維持從腔室電漿區域420穿越至基材處理區域470之激發物種的顯著濃度,可藉由形成部分穿過噴淋頭453之通孔456的較大直徑部分來限制通孔之最小直徑450的長度426。在所述的實施例中,通孔456之最小直徑450的長度可相同於或小於通孔456之最小直徑的數量級。
在所示的實施例中,噴淋頭453可分配(透過通孔456)製程氣體(其含有氧、氫、及(或)氮),及(或)藉由腔室電漿區域420中之電漿激發此等製程氣體的電漿排放物。在實施例中,在RPS410及(或)腔室電漿區域420中激發的製程氣體包括氨(NH3)、氫(H2)及氮(N2。一般而言,經由第一通道412引入RPS 410及(或)腔室電漿區域420的製程氣體可含有氧(O2)、臭氧(O3)、N2O、NO、NO2、NH3、NxHy(包括N2H4)、矽烷、二矽烷、TSA及DSA中的一或多者。製程氣體也可包括諸如氦、氬、氮(N2)等的載氣。第二通道413也可傳遞製程氣體及(或)載氣,及(或)用來自生長中或經沉積薄膜移除不需要成分的薄膜固化氣體。電漿排放物可包括製程氣體的離子化或中性衍生物,且其在本文中亦稱為氧自由基前驅物及(或)氮自由基前驅物,代表引入之製程氣體的原子組成。
在實施例中,通孔456的數量可介於約60個至約2000個之間。通孔456可具有各種形狀,但最容易製成圓形。在所揭示的實施例中,通孔456的最小直徑450可介於約0.5mm至約20mm之間,或介於約1mm至約6mm之間。亦可自由選擇通孔的截面形狀,其可為圓錐形、圓柱形或這兩種形狀的結合。在不同實施例中,用來將氣體引入基材處理區域470之小孔455的數量可介於約100個至約5000個之間,或約500個至約2000個之間。小孔455的直徑可介於約0.1mm至約2mm之間。
第4B圖為根據所揭示實施例之用於處理腔室之噴淋頭453的底部視圖。噴淋頭453對應於第4A圖的噴淋頭。通孔456繪示為在噴淋頭453的底部具有較大的內直徑(ID),而在頂部有較小的ID。小孔455係實質均勻地分佈在噴淋頭的表面,相較於本文所述的其他實施例,均勻分配的通孔456有助於提供更均勻的混合。
當通過噴淋頭453中之通孔456的電漿排放物結合通過小孔455(源自中空體積451)的含矽前驅物時,在基材處理區域470內藉由臺座(未圖示)支撐的基材上產生一範例薄膜。儘管基材處理區域470可經裝配以支援其他製程(例如固化)的電漿,但在範例薄膜的生長期間沒有電漿存在。
可在噴淋頭453上方的腔室電漿區域420或噴淋頭453下方的基材處理區域470中點燃電漿。在腔室電漿區域420中存有電漿以從含氮及氫氣體的進流(inflow)生產氮自由基前驅物。在處理腔室的導電頂部421與噴淋頭453之間施加通常於射頻(RF)範圍內的AC電壓,以在沉積期間點燃腔室電漿區域420中的電漿。RF功率供應器產生13.56MHz的高RF頻率,但也可單獨產生其他頻率或結合13.56MHz頻率。
當啟動基材處理區域470中的底部電漿以固化薄膜或清潔圍繞基材處理區域470之內表面時,頂部電漿可維持在低功率或零功率。基材處理區域470中的電漿是藉由在噴淋頭453與腔室的臺座或底部之間施加AC電壓而點燃。當電漿存在時,可引入清潔氣體至基材處理區域470中。
臺座可具有熱交換通道,熱交換流體流過熱交換通道以控制基材的溫度。此配置允許基材溫度得以冷卻或加熱以維持相對的低溫(從室溫至約120℃)。熱交換流體可包含乙二醇與水。臺座的晶圓支撐圓盤(較佳為鋁、陶瓷、及其組合)也可經電阻加熱(使用經配置以製造兩個完整線圈之平行同心圓的經嵌入之單迴路嵌入式加熱元件),以達到相對高的溫度(從約120℃至約1100℃)。加熱元件的外部可鄰近於支撐圓盤的周長行進,同時內部在具有較小半徑的同心圓路徑上行進。加熱元件的線路通過臺座的主幹。
基材處理系統受到系統控制器的控制。在一範例實施例中,系統控制器包括硬碟機、軟碟機及處理器。處理器含有單板電腦(SBC)、類比及數位輸入/輸出板、介面板及步進馬達控制器板。CVD系統的各種部件共同形成Versa Module European(VME)標準,其界定板、卡籠、以及連接器的尺寸及類型。VME標準也界定匯流排結構為具有16位元的資料匯流排及24位元的位址匯流排。
系統控制器控制所有CVD機器的活動。系統控制器執行系統控制軟體(其為儲存在電腦可讀媒體中的電腦程式)。較佳地,媒體為硬碟機,但媒體亦可為其他種類的記憶體。電腦程式包括一套可支配時序、氣體混合、腔室壓力、腔室溫度、RF功率位準、基座位置以及特定製程之其他參數的指令。儲存在其他記憶體裝置(包括諸如軟碟或其他適合的驅動)的其他電腦程式也可用於指示系統控制器。
在基材上沉積薄膜堆疊的製程或用於清潔腔室的製程可使用電腦程式產品來實施,而電腦程式產品係藉由系統控制器來執行。電腦程式編碼可以任何習知的電腦可讀程式語言寫入,電腦程式語言包括:例如,68000組合語言、C、C++、Pascal、Fortran或其他程式語言。使用習知的文字編輯器將適當的程式編碼輸入至單一檔案中、或多個檔案中,並將程式編碼儲存於或嵌入至電腦可用媒體中(例如電腦的記憶體系統)。若以高階語言輸入編碼文字,編碼係經編譯,且隨後最終編譯碼經連結至Microsoft Windows常式庫預先編譯的目標編碼。為了運作經連結、經編譯的目標編碼,系統使用者啟用目標編碼,致使電腦系統寫入記憶體中的編碼。隨後,CPU讀取並執行編碼以實行程式中識別的任務。
使用者和控制者之間的介面係透過平板觸摸感應監視器。在較佳實施例中使用兩個監視器,一個安裝在清潔的室壁上以供操作者使用,及另一個安裝在壁後方以供服務技術使用。兩個監視器可同時顯示相同資訊,其中一次僅一個監視器接受輸入。為了選擇適當的螢幕或功能,操作者觸摸該觸摸感應監視器的指定區域。觸摸區域改變其顯目色彩,或顯示新的選單或螢幕,確認操作者與觸摸感應監視器之間的通訊。可使用諸如鍵盤、滑鼠的其他裝置、或其他指向或通訊裝置來取代或添加至觸摸感應監視器,以允許使用者與系統控制器通訊。
本文所使用的「基材」可為具有或不具有多層形成於其上的支撐基材。支撐基材可為絕緣體或各種摻雜濃度及分佈的半導體,且(例如)可為使用在積體電路製造中之類型的半導體基材。「氧化矽」層可包括其他元素組成(例如氮、氫、碳等)的少量濃度。處於「激發態」的氣體描述至少一部分氣體分子處於振動激發、解離及(或)離子化狀態的氣體。氣體可為二或多個氣體(或前驅物)的組合。全文中使用的術語「溝槽」並未暗示經蝕刻的幾何結構具有大的水平深寬比。從上方表面觀之,溝槽可顯示為圓形、卵形、多角形、矩形、或各種其他形狀。術語「介層孔」代表低高寬比溝槽,其可經填充或不填充金屬以形成垂直電連接。術語「前驅物」用來表示任何參與反應以自表面移除材料或沉積材料的製程氣體。
在描述了數個實施例之後,應瞭解此領域中具有通常知識者可在不背離本發明之精神的情況下使用各種修改、替代結構及等效物。此外,為了不非必要的混淆本發明,並未描述一些習知製程及元件。因此,上文的描述不應視為對本發明範疇的限制。
除非文中有明確的相反指示,當提供一個範圍的數值時,應瞭解此範圍上限與下限之間的各個中間值(至最小單位的十分之一)亦明確地揭露。涵蓋在任何設定值之間的各個小範圍或設定範圍的中間值以及任何其他設定或在設定範圍的中間值。這些小範圍的上限與下限可獨立地被包括在範圍中或被排除,且本發明亦涵蓋小範圍中包括一個上下限、皆不包含上下限、皆包含上下限的各個範圍,除了在設定範圍中特別排除的限制。當設定範圍包括上下限之一或兩者,亦包括排除那些包括上下限之一或兩者的範圍。
本文及隨附申請專利範圍所使用的單數形式「一(a)」、「一(an)」及「該(the)」包括複數個指示對象,除非文中另有明確相反指示。因此,舉例而言,「一製程」的用語包括複數個此等製程,以及「該前驅物」的用語包括一或多個前驅物及熟習此技藝之人士所知悉的等效物等。
同理,在說明書中及後文申請專利範圍使用的用語「包含(comprise)」、「包含(comprising)」、「包括(include)」、「包括(including)」及「包括(includes)」意欲界定所述特徵結構、整數、部件、或步驟的存在,而非排除一或多個其他特徵結構、整數、部件、步驟、動作或群組的存在與添加。
100...方法
102...操作
104...操作
106...操作
108...操作
110...操作
200...方法
202...操作
204...操作
206...操作
208...操作
210...操作
300...系統
302...FOUP
304...機械臂
306...固持區
308a-f...處理腔室
310...第二機械臂
400...基材處理腔室
410...遠端電漿系統
411...氣體入口組件
412...第一通道
413...第二通道
420...腔室電漿區域
421...頂蓋
424...絕緣環
426...長度
450...最小直徑
451...中空體積
453...穿孔隔板/噴淋頭
455...小孔
456...通孔
470...基材處理區域
可藉由參照說明書的其餘部分及圖式以更進一步瞭解本發明的特性與優勢,其中在圖式中使用相似參考元件符號來表示相似的部件。在一些情況中,子標籤(sublabel)與參考元件符號相關連且接續一連號以表示為多個相似部件其中之一。當參照一參考元件符號而未指定子標籤,意欲表示所有此等多個相似部件。
第1圖為根據本發明實施例例示製造氧化矽薄膜之選擇步驟的流程圖。
第2圖為根據本發明實施例例示用於在基材間隙中形成氧化矽薄膜之選擇步驟的另一流程圖。
第3圖為根據本發明實施例之基材處理系統的示意圖。
第4A圖為根據本發明實施例之基材處理腔室的示意圖。
第4B圖為根據本發明實施例之基材處理腔室之噴淋頭的示意圖。
100...方法
101...操作
102...操作
104...操作
106...操作
108...操作
110...操作

Claims (15)

  1. 一種在一基材上形成一可流動介電層的方法,該方法包含以下步驟:藉由將該基材暴露至一含矽襯墊前驅物及一含氧襯墊前驅物以在該基材的氮化矽上形成一大體上共形之氧化矽襯墊層,其中該基材係維持在一襯墊沉積溫度;使用自由基組成化學氣相沉積在該氧化矽襯墊層上形成一不含碳之可流動含矽-氮及氫層,其中該基材係維持在一整體沉積溫度,其中該整體沉積溫度小於120℃;在低於或約400℃之基材溫度於一含臭氧大氣中固化該基材;以及在一含氧大氣中將該基材的溫度提昇至高於或約600℃的氧退火溫度。
  2. 如申請專利範圍第1項之方法,其中形成該不含碳之可流動含矽-氮及氫層的步驟包含以下步驟:流動一含氮及氫氣體至一電漿區域中,以產生一氮自由基前驅物;在一無電漿基材處理區域中將一不含碳之含矽前驅物結合該氮自由基前驅物;以及在該基材上沉積一含矽及氮層。
  3. 如申請專利範圍第2項之方法,其中該含氮及氫氣體 包含氨(NH3)。
  4. 如申請專利範圍第2項之方法,其中該含氮及氫氣體包含下列至少一者:氮(N2)、氫(H2)、聯胺(N2H4)及氨(NH3)。
  5. 如申請專利範圍第1項之方法,其中該大體上共形之氧化矽襯墊的厚度係小於或約100埃。
  6. 如申請專利範圍第2項之方法,其中該不含碳之含矽前驅物包含N(SiH3)3
  7. 如申請專利範圍第1項之方法,其中該不含碳之可流動含矽-氮及氫層包含一不含碳之Si-N-H層。
  8. 如申請專利範圍第1項之方法,其中該整體沉積溫度係低於該襯墊沉積溫度。
  9. 如申請專利範圍第1項之方法,其中該襯墊沉積溫度大於400℃。
  10. 如申請專利範圍第1項之方法,其中該含氧大氣包含一或多個選自以下群組所構成的氣體:氧原子、臭氧、及水蒸氣(H2O)。
  11. 如申請專利範圍第2項之方法,其中該電漿區域係位於一遠端電漿系統中。
  12. 如申請專利範圍第2項之方法,其中該電漿區域係為一基材處理腔室的一隔間部分,其係藉由一噴淋頭從該無電漿基材處理區域分隔出。
  13. 如申請專利範圍第1項之方法,其中該含氧襯墊前驅物包含臭氧。
  14. 如申請專利範圍第1項之方法,其中該含氧襯墊前驅物包含氧(O2)。
  15. 如申請專利範圍第1項之方法,其中該含矽襯墊前驅物包含TEOS。
TW099145306A 2010-01-06 2010-12-22 形成使用氧化物襯墊的可流動介電質之方法 TWI544548B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29252010P 2010-01-06 2010-01-06
US12/974,495 US8647992B2 (en) 2010-01-06 2010-12-21 Flowable dielectric using oxide liner

Publications (2)

Publication Number Publication Date
TW201131652A TW201131652A (en) 2011-09-16
TWI544548B true TWI544548B (zh) 2016-08-01

Family

ID=44224951

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099145306A TWI544548B (zh) 2010-01-06 2010-12-22 形成使用氧化物襯墊的可流動介電質之方法

Country Status (7)

Country Link
US (1) US8647992B2 (zh)
JP (1) JP2013517616A (zh)
KR (1) KR101528832B1 (zh)
CN (1) CN102754193A (zh)
SG (1) SG182336A1 (zh)
TW (1) TWI544548B (zh)
WO (1) WO2011084812A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI710847B (zh) * 2018-02-22 2020-11-21 美商應用材料股份有限公司 用於處理遮罩基板以致使較佳膜品質之方法

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US9470771B2 (en) 2012-06-08 2016-10-18 Liposcience, Inc. NMR measurements of NMR biomarker GlycA
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9362111B2 (en) 2014-02-18 2016-06-07 Applied Materials, Inc. Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US9431238B2 (en) * 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
CN105244269B (zh) * 2014-07-09 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
US11901220B2 (en) * 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7478776B2 (ja) * 2021-07-07 2024-05-07 アプライド マテリアルズ インコーポレイテッド ゲートスタック形成のための統合湿式洗浄
US20240087910A1 (en) * 2022-09-14 2024-03-14 Applied Materials, Inc. Methods of highly selective silicon oxide removal

Family Cites Families (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH01189128A (ja) * 1988-01-22 1989-07-28 Matsushita Electric Ind Co Ltd プラズマcvd方法
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP3216266B2 (ja) * 1992-09-22 2001-10-09 ソニー株式会社 平坦化絶縁膜の製造方法
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
JPH07335754A (ja) * 1994-06-13 1995-12-22 Sony Corp 半導体装置の製造方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) * 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
EP0881678A3 (en) * 1997-05-28 2000-12-13 Texas Instruments Incorporated Improvements in or relating to porous dielectric structures
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
TW366555B (en) * 1997-12-18 1999-08-11 Promos Technologies Inc Manufacturing process of inter-metal dielectrics
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) * 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) * 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
DE60025872T2 (de) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Lösliche Siliconharzzusammensetzungen
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
JP2002110781A (ja) * 2000-09-19 2002-04-12 Promos Technologies Inc 浅溝槽分離区の平坦化方法
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6543899B2 (en) * 2000-12-05 2003-04-08 Eastman Kodak Company Auto-stereoscopic viewing system using mounted projection
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) * 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) * 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
KR20030093270A (ko) 2001-03-23 2003-12-06 다우 코닝 코포레이션 수소화 규소 옥시카바이드 필름의 제조 방법
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101466865A (zh) 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) * 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI710847B (zh) * 2018-02-22 2020-11-21 美商應用材料股份有限公司 用於處理遮罩基板以致使較佳膜品質之方法

Also Published As

Publication number Publication date
KR101528832B1 (ko) 2015-06-15
US8647992B2 (en) 2014-02-11
US20110165781A1 (en) 2011-07-07
JP2013517616A (ja) 2013-05-16
CN102754193A (zh) 2012-10-24
WO2011084812A2 (en) 2011-07-14
WO2011084812A3 (en) 2011-11-17
SG182336A1 (en) 2012-08-30
KR20120102155A (ko) 2012-09-17
TW201131652A (en) 2011-09-16

Similar Documents

Publication Publication Date Title
TWI544548B (zh) 形成使用氧化物襯墊的可流動介電質之方法
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
TWI463566B (zh) 低溫氧化矽轉換
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
TWI516630B (zh) 自由基組成化學氣相沉積之原位臭氧硬化之方法
JP2013516763A (ja) フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
TW201126010A (en) Curing non-carbon flowable CVD films
JP2013545284A (ja) アミン硬化ケイ素−窒化物−水素化物膜
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
TW201310529A (zh) 減少脫氣所用的表面處理及沉積
TW201127983A (en) Dielectric film formation using inert gas excitation
JP2013533639A (ja) 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
TW201308432A (zh) 降低釋氣的覆蓋層
JP2015521375A (ja) 流動性膜のための改善された緻密化